(溫馨提示:文末有下載方式)

一、半導體行業概況

1.半導體行業產業鏈

半導體指常溫下導電性能介於導體與絕緣體之間的材料,是電子產品的核心。半導體行業商業模式從集成化向產業鏈垂直化分工演變,具有下游應用廣、生產技術工序複雜、產品種類多樣、技術更新快、投資高風險大等特點,並經歷了兩次空間上的產業轉移。半導體行業經歷了三個發展階段,通常以4-6年為一週期,並且有加快的趨勢,與宏觀經濟、下游應用需求以及自身產能庫存等因素密切相關。

半導體及半導體設備行業深度研究報告

根據ICInsights分類,半導體按產品劃分,可分為集成電路(IC)、分立器件(二極管、晶閘管、功率晶體管等)、光電器件(光傳感器、圖像傳感器、激光發射器等)和傳感器(壓力傳感器、溫度傳感器、磁場傳感器等)。集成電路又分為數字電路和模擬電路。數字電路包含存儲器(DRAM、Flash等)、邏輯電路(PLDs、門陣列、顯示驅動器等)、微型元件(MPU、MCU、DSP)。模擬電路包含通用模擬電路(接口、能源管理、信號轉換等)和特殊應用模擬電路。

全球半導體產業有兩種商業模式,一種是IDM(IntegratedDeviceManufacture,集成器件製造)模式,另一種是垂直分工模式(FablessFoundries-Test)。IDM廠商的經營範圍涵蓋了設計、製造、封裝測試等各環節,甚至延伸至下游電子終端,典型公司有Intel、TI、三星等。1987年臺灣積體電路公司(TSMC)成立以前,只有IDM一種模式,此後,半導體產業的專業化分工成為一種趨勢,典型公司有高通、博通、臺積電等。出現垂直分工模式的根本原因是半導體制造業的規模經濟性。現今IDM廠商仍然佔據主要地位,主要是因為IDM企業具有資源的內部整合優勢、技術優勢以及較高的利潤率。

半導體及半導體設備行業深度研究報告

半導體產業鏈包括芯片設計、芯片製造、封裝測試等部分,其中下游涵蓋各種不同行業。此外,為產業鏈提供服務支撐包括為芯片設計提供IP核及EDA設計工具公司、為製造封測環節提供設備材料支持的公司等。

半導體及半導體設備行業深度研究報告

半導體及半導體設備行業深度研究報告

2.半導體產業鏈工藝流程及設備

半導體產業鏈通常包含設計、製造、封裝測試等環節,尤其是製造環節涉及濺鍍、光刻、刻蝕、擴散等非常複雜工藝。另外,單晶硅片生產也涉及拉晶、切割、拋光等多種工藝才能製備出合適的單晶硅片。

半導體生產過程中,首先根據下游客戶的需求對產品進行設計並製造出符合要求的光罩。製造中對根據光罩對單晶硅進行光刻、刻蝕等過程,製備所需要的電路。最後進入封裝和測試環節,形成最終產品。

半導體及半導體設備行業深度研究報告

單晶硅片生產過程中不同工藝涉及不同設備,主要設備有晶體生長爐、內圓切割機、切磨一體機、刻蝕機、拋光機等,國內主要供應商包括晶盛機電、北方華創、中微半導體等公司。

半導體及半導體設備行業深度研究報告

半導體制造工藝中涉及擴散、薄膜生長、光刻、刻蝕、離子注入、拋光等多種工藝,對應不同工藝、不同製程所需要的設備種類及數量也明顯不同,典型設備包括氧化爐、PVD、CVD、光刻機、刻蝕機、離子注入設備、拋光、檢測設備等。國內典型公司如北方華創、瀋陽芯源、中微半導體、華海清科等公司,國外典型公司包括荷蘭ASML、美國應用材料、日本尼康、美國泛林半導體、日本Tokki、韓國JuSung等。

半導體及半導體設備行業深度研究報告

二、投資邏輯:智能化帶來新增長,技術發展及政策支持設備需求增長

1.投資邏輯一:智能化驅動新需求——數據時代引領發展,帶動相關行業設備的需求

半導體行業隨著新興應用的不斷出現,不斷推動者半導體行業的向前發展,根據全球半導體貿易統計組織(WSTS)數據,半導體銷售額從1999年的1494億美元增長至2018年的4688億美元,全球半導體市場規模每個7-8年增長1000億美金。

半導體及半導體設備行業深度研究報告

全球半導體產業發展總體上可以劃分為三個時代:1960s-1980s計算機時代,隨著技術的發展,摩爾定律得到快速驗證,使得計算機尺寸縮小,並能夠廣泛普及;1990s-2010s移動時代,筆記本電腦、智能手機等消費電子的大面積推廣,使半導體工業進入了新的移動時代;2010s以後將進入數據時代,智能化是未來產業發展的方向,除了當前消費電子等,未來人工智能(AI)、5G移動通信、無人駕駛、物聯網(IoT)等行業應用的發展,將產生大量數據,據SEMI數據,全球數據總量將從2017年1ZB暴增至2022年10ZB以上,將人類社會推向真正的智能化世界,真正形成萬物互聯,這其中將帶來對半導體行業帶來前所未有的新空間。

半導體及半導體設備行業深度研究報告

半導體及半導體設備行業深度研究報告

2018年各大機構隊2019年半導體市場增速相對比較樂觀,機構們普遍給予大於4%的預測。但是從2019年一季度全球半導體實際銷售情況來看,2019年半導體行業下滑明顯。雖然長期來看汽車電子、智能家居和物聯網等領域的廣泛爆發將為全球集成電路市場的增長創造了良好的需求環境,但是當前智能手機、計算機和消費類電子等仍是半導體主要應用方向,在連續兩年的兩位數高速增長後,結合全球經濟增速回落和中美貿易摩擦加劇,根據WSTS在2019年2月預測,全球半導體市場將在2019年出現3%的回落。

半導體及半導體設備行業深度研究報告

半導體及半導體設備行業深度研究報告

2.投資邏輯二:技術進步驅動——摩爾定律驅使技術進步,新技術催生新設備需求

摩爾定律表示當價格不變時,集成電路上可容納的元器件的數目,約每隔18-24個月便會增加一倍,性能也將提升一倍。摩爾定律驅使製造更快、更好、更廉價芯片,且要他們具有較低的計算功耗和優秀的功能。然而,2010年國際半導體技術發展路線圖的更新增長已經放緩在2013年年底,之後的時間裡晶體管數量密度預計只會每三年翻一番。

半導體及半導體設備行業深度研究報告

未來半導體技術將向著功能多樣化和尺寸微型化兩個方向共同發展。在近日的SFF(SamsungFoundryForum)美國分會上,三星表示將在2021年推出一款基於3nmGAA(gateallaround)工藝的產品。臺積電也表示將在2021年Q1實現5nm量產。新工藝的應用將帶來產品性能的大幅提升,同時帶來對設備材料的巨大需求。同時,SIP(SystemInaPackage系統級封裝)將實現功能多樣化,大大提升半導體的應用場景。

半導體及半導體設備行業深度研究報告

半導體及半導體設備行業深度研究報告

半導體技術發展將帶來製造設備支出的大幅提升。根據應用材料統計數據,NAND閃存技術從Planar發展到3D64層結構時,對製造設備支出需求將提升60%;DRAM從25nm工藝升級至14nm工藝時,製造設備支出將增加40%;晶元代工廠(Foundry)加工工藝從28nm升級至7nm時,製造設備支出將增加100%;顯示領域從LCD向OLED轉變,製造設備支出將增加425%。

從SEMI數據來看2016-2018年,全球200mm晶圓容量持續增長,且其中小於20nm工藝所需晶圓比例由2016年26.59%提升至2018年的35.10%。從各大IDM及晶圓代工廠的技術路線圖可以看出,2019年英特爾將步入10nm工藝,三星將推出7nm製造工藝,臺積電將量產第二代7nm工藝,而中芯國際將有望實現14nm工藝突破。技術工藝的不斷突破將拉動對設備材料支出的持續需求。

半導體及半導體設備行業深度研究報告

半導體及半導體設備行業深度研究報告

3.投資邏輯三:我國政策驅動——政策驅動產業快速發展,提升半導體企業競爭力

集成電路行業屬於國家鼓勵發展的高技術產業和戰略性新興產業,受到國家政策的大力扶持。中國政府大力主導推動整體產業發展,先後頒佈了《國家集成電路產業發展推進綱要》、《集成電路產業“十三五”發展規劃》、《關於集成電路設計和軟件產業企業所得稅政策的公告》等政策。各地方政府為培育增長新動能,積極搶抓集成電路新一輪發展機遇,促進地區集成電路產業實現跨越式發展,也不斷出臺相關政策支持集成電路產業的發展。

半導體及半導體設備行業深度研究報告

半導體及半導體設備行業深度研究報告

2019年以來中美貿易摩擦的持續,美國政府持續打壓華為公司,高通(Qualcomm)、科沃(Qorvo)、美光科技(MicronTechnology)、西部數據(WesternDigital)等美國芯片企業,已經在美國政府的“禁令下”暫停向華為供貨。華為事件更加突顯在集成電路領域的自主可控的重要性,此次事件也使我們認識核心技術必須要掌握自己手裡,必須要以“國產化替代”,實現自主安全可控,並促進我國在集成電路產業的大力投入與國內企業的發展。

截至2018年,國家集成電路產業投資基金一期已經基本投資完畢,據集微網大基金一期投資項目統計,投資分佈主要集中在設計、製造、封測等領域。

半導體及半導體設備行業深度研究報告

《極大規模集成電路製造技術及成套工藝》項目,因次序排在國家重大專項所列16個重大專項第二位,在行業內被稱為“02專項”。02專項目前涵蓋了半導體制造設備的大部分領域,如光刻、刻蝕、薄膜、離子注入、檢測等方面,同時國內有多家公司深度參與其中,北方華創項目涵蓋刻蝕、薄膜等多領域,上海微電子已光刻機為主要方向,中微半導體主要負責介質刻蝕機的研發。隨著項目的不斷推進各種先進設備已經在現有半導體產線進行應用及驗證,支撐我國半導體設備產業快速發展。

半導體及半導體設備行業深度研究報告

4.投資邏輯四:第三次產業轉移——中國晶圓廠建設迎高峰,半導體設備需求明顯

半導體產業於上世紀五十年代起源於美國,之後共經歷了三次大規模產業轉移。第一次產業轉移起始於20世紀60年代,集成電路封裝業(組裝)首先由美國向日本轉移。第二次產業轉移發生在20世紀90年代,全球範圍內開始了以互聯網為核心的技術革命,日本的半導體優勢地位被韓國取代。90年代後期,晶圓代工模式逐漸興起,芯片設計與製造環節分離,以中國臺灣為代表的晶圓代工廠改寫了全球半導體產業製造模式。半導體行業經歷兩次產業轉移後,目前正藉助消費電子時代向中國轉移。二十一世紀以來,我國由於具備勞動力成本等多方面的優勢,正在承接第三次大規模的半導體產業轉移。

根據全球半導體貿易統計組織(WSTS)統計顯示,我國半導體市場呈現快速增長趨勢,且中國半導體市場增速要高於全球半導體市場同比增速。2018年中國半導體銷售額1578億美元,佔全球半導體銷售額的33.86%,中國半導體銷售額同比增長20.08%,顯著高於全球的增速13.09%。

雖然我國半導體市場呈現快速增長趨勢,但是中國自給率較低。根據ICInsights最新數據,2018年我國半導體自給率約15.4%,較2012年的11.9%雖有較大提升,但是仍然存在供給能力不足的問題,預計2023年我國自給率將達到23%,因此我國半導體市場進口替代存在較大市場空間。

半導體及半導體設備行業深度研究報告

半導體及半導體設備行業深度研究報告

根據ICInsights統計,2018年底,共有112家集成電路製造工廠使用的是12英寸晶圓(用於製造非IC產品的不計入統計)。2018年全年一共新開了7家12英寸晶圓廠,而2019年又將新增9家12英寸廠,這是繼2007年以來一年內最多的一次,其中有5家位於中國。ICInsights預計,2020年還要新開6家12英寸晶圓廠,且今年和明年新開的這些工廠都將用於DRAM和NANDFlash或晶圓代工。根據SEMI數據預測,2019年中國設備支出將比2018年增長25%,韓國將會有16%的下滑。

半導體及半導體設備行業深度研究報告

半導體及半導體設備行業深度研究報告

2018年內有關中國晶圓生產線的項目共46個,總投資金額高達14000億人民幣。包含華虹半導體、紫光存儲、福建晉華、上海積塔等公司多條12英寸在建產線。雖然12英寸產線是市場主流,但是8英寸產線仍然有較大需求,中國有上海積塔、海辰半導體、德科碼等多家公司的新建8英寸產線,我國成為全球半導體資本支出的主要增長力量之一。

半導體及半導體設備行業深度研究報告

半導體及半導體設備行業深度研究報告

新建半導體晶圓廠產線週期從場地設計開始到最終投產大概在2年左右時間。新建產線中設備支持約佔整個產線投資的80%,廠房建設約佔20%,晶圓製造設備約佔總投資的65%。

半導體及半導體設備行業深度研究報告

半導體及半導體設備行業深度研究報告

不同尺寸的晶圓廠產線對設備的需求也有明顯不同,總的來看,越先進製程產線所需的設備數量越多。以光刻機為例,12寸晶圓面積為8寸晶圓的2.25倍,所以相同產能下所需光刻機臺數也接近翻倍,通常12英寸1萬片月產能的光刻機需求約為8臺,8英寸1萬片月產能約需要光刻機4臺。12寸晶圓的產線通常可以按照製程劃分為兩類:成熟製程,包含45nm、65nm、90nm等製程;先進製程,包含22nm、14/16nm、10nm、7nm等。先進製程相比於成熟製程引入多重曝光技術,使工序數和設備數量大幅提高。

半導體及半導體設備行業深度研究報告

三、半導體設備市場國外佔據主導,但國內在不同子領域取得突破

1.全球半導體設備市場穩定增長,國外公司佔據市場主導地位

近年,在5G概念驅動,以雲計算、醫療電子、汽車電子、安防電子等的新興應用方向強勁需求的帶動下,全球半導體產業恢復增長。據WSTS數據統計,從2013年到2018年,全球半導體市場規模已經從3056億美元提升至4688億美元,年均複合增長率達到8.93%,新一輪的半導體行業上升週期已至。其中,中國半導體銷售額在全球市場規模中佔比約在33%。

半導體及半導體設備行業深度研究報告

伴隨半導體行業整體景氣度的提升,半導體設備市場也呈增長趨勢。根據國際半導體產業協會(SEMI)數據,全球半導體設備銷售額從2013年的318億美元增長至2018年的預估621億美元,年均複合增長率約為14.33%。2015年半導體需求受到PC出貨放緩、美元升值、日本經濟萎縮、歐洲危機等影響,銷售增速下滑,而後半導體設備市場重新恢復生機。

半導體及半導體設備行業深度研究報告

全球半導體設備市場呈現出高壟斷的市場格局,主要由國外廠商主導。根據VLSIResearch統計,2018年全球半導體設備系統及服務銷售額為811億美元,排名前十五的半導體設備供應商中,北美、日本區域佔據主導優勢,中國僅有一家擠入榜單。而前五大半導體設備供應商,由於起步較早,憑藉資金、技術、客戶資源、品牌等方面的優勢,佔據了全球半導體設備市場65%的市場份額。

半導體及半導體設備行業深度研究報告

具體到按工藝劃分的設備上,光刻機方面,阿斯麥公司具備壟斷優勢;刻蝕機與薄膜沉積設備方面,應用材料、東京電子和泛林半導體位列三強;檢測設備方面,科天半導體佔據龍頭優勢。

2.我國半導體設備市場增速快,國產替代市場空間大

據SEMI數據顯示,2017年中國大陸半導體設備銷售額位列全球第三,為82.3億美元,同比增長27%,約佔全球半導體設備銷售額的15%,預計到2020年中國大陸半導體設備銷售額將達170億美元,佔全球比例的20%。

具體分析我國半導體供給端,目前國產半導體集成電路市場規模較小,2018年自給率約為15%。根據海關總署的數據,僅半導體集成電路產品的進口額從2015年起已連續四年位列所有進口商品中的第一位,不斷擴大的中國半導體市場規模嚴重依賴於進口,中國半導體產業自給率過低。根據中國電子專用設備工業協會的統計數據,2018年國產半導體設備銷售額預計為109億元,自給率約為13%。若僅計算國內集成電路設備自給率,則僅為5%左右。

半導體及半導體設備行業深度研究報告

反觀需求端,中國目前是全球最大的電子產品生產及消費市場,根據ICInsights統計,從2013年到2018年僅中國半導體集成電路市場規模就從820億美元擴大至1550億美元,年均複合增長率約為13.58%。隨著5G等新興技術的進一步發展,中國的半導體器件消費仍將持續增加。根據SEMI統計數據,2018年半導體設備在中國大陸的銷售額預計為128億美元,同比增長56%,約佔全球半導體設備市場的21%,已成為僅次於韓國的全球第二大半導體設備需求市場。

半導體及半導體設備行業深度研究報告

半導體及半導體設備行業深度研究報告

中國半導體設備市場面臨著較大的需求缺口,進口依賴問題始終存在,受中美貿易摩擦影響,自主可控成為市場關注的重要方向,目前我國加大國產設備研發投入力度,國產設備未來成長空間充足。

半導體及半導體設備行業深度研究報告

3.半導體設備典型工藝與現狀

半導體設備是半導體設計實現的關鍵步驟,但目前我國80%-90%的工藝設備依賴進口,國產設備僅佔全球半導體產量的2%。半導體制造工藝複雜,所需的設備豐富,從流程分類,半導體設備主要可分為硅片生產過程設備、晶圓製造過程設備、封測過程設備等。這些設備分別對應硅片製造、集成電路製造、封裝、測試等工序,分別用在集成電路生產工藝的不同工序裡。

以集成電路各類設備銷售額推算各類設備比例,在整個半導體設備市場中,晶圓製造設備為主體佔比81%,封裝設備佔6%,測試設備佔8%,其他設備佔5%。而在晶圓製造設備中,光刻機、刻蝕機、薄膜沉積設備為核心設備,大約分別佔晶圓製造環節設備成本的24%、24%、18%。

半導體及半導體設備行業深度研究報告

半導體及半導體設備行業深度研究報告

3.1製造過程設備——光刻機

半導體芯片在製作過程中需要經歷材料製備、掩膜、光刻、刻蝕、清洗、摻雜、機械研磨等多個工序,其中以光刻流程最為關鍵,光刻機是半導體芯片製造中最精密複雜、難度最高、價格最昂貴的設備,是整個製造流程工藝先進程度的重要指標。光刻機按照用途分類,包括用於生產芯片的光刻機,用於封裝的光刻機,用於LED製造領域的投影光刻機。目前用於生產芯片的光刻機是中國在半導體設備製造上最大的短板,國內晶圓廠所需的高端光刻機完全依賴進口。

半導體及半導體設備行業深度研究報告

光刻機用於刻出晶體管器件的結構和晶體管之間的連接通路。其加工芯片的過程,是通過一系列的光源能量、形狀控制手段,將光束透射過畫著線路圖的掩模,經物鏡補償各種光學誤差,將線路圖成比例縮小後映射到硅片上,然後使用化學方法顯影,得到刻在硅片上的電路圖。一般的光刻工藝要經歷硅片表面清洗烘乾、塗底、旋塗光刻膠、軟烘、對準曝光、後烘、顯影、硬烘、激光刻蝕等工序。

光刻機的變遷歷史悠長,主要發展方向為縮短曝光光源波長、提高數值孔徑(NA)和改進曝光方式。目前市場最為廣泛應用的是浸入式光刻機和EUV光刻機。EUV光刻機是最新的技術應用,其出現原因是隨著製程不斷微縮,在從32/28nm節點邁進22/20nm節點時,由於光刻精度不足,需使用二次曝光等技術來實現,設備與製作成本雙雙提高,摩爾定律失效,晶體管的單位成本首次出現不降反升。雖然EUV光刻機早已開始出貨,但由於其成本昂貴且交期長,一般的公司難以採購,因此現在光刻機市場主要以193nmArF光刻機為主。

半導體及半導體設備行業深度研究報告

目前全球半導體制造流程用光刻機的生產廠商有3家,分別是阿斯麥、尼康、佳能,其中阿斯麥佔有明顯的壟斷優勢,一家獨佔約75%的市場;而尼康、佳能則分別享有11%與6%的市場分額。

半導體及半導體設備行業深度研究報告

在高端光刻機方面,阿斯麥佔有84%的市場。據各公司財報數據,2011-2017年全球光刻機總出貨1920臺,阿斯麥出貨1209臺,佔有63%的市場份額。其中,EUV光刻機上,僅阿斯麥一家獨大,市場份額100%。2013年阿斯麥EUV光刻機研發成功,光源波長22nm,隨著技術逐步推進,2017年採用最小13nmEUV作為光源,超短波長使7nm以下特徵尺寸曝光得以實現,於全球佔有絕對技術優勢。在ArFi機臺方面,2011-2017年全球出貨612臺,阿斯麥佔有率超過88%;ArF機臺方面,全球銷售95臺,阿斯麥佔比52%。

半導體及半導體設備行業深度研究報告

2017年,阿斯麥的高端優勢愈加明顯,市場份額88%。2017年全球光刻機總出貨294臺,阿斯麥銷售198臺,市佔率68%。EUV光刻機方面,阿斯麥佔有率100%。在ArFi機臺方面,阿斯麥市佔率92%;ArF機臺方面,阿斯麥市佔率64%。2017年單臺EUV機臺平均售價超過1億歐元,2018年一季度的售價更是接近1.2億歐元。而尼康與佳能的光刻機主要以i-line光刻機產出為主,僅集中於中低端市場。

半導體及半導體設備行業深度研究報告

目前我國面臨著同樣的困局,國產裝備主要佈局中低端,在其他光刻機設備上主要依賴進口。國內光刻機廠商主要為上海微電裝備、中電科48所、中電科45研究所等。而中電科研究所雖然產出光刻機,但主要集中在離子注入機、CMP、ECD等設備上,光刻機競爭力較弱。上海微電裝備的發展在國內最為領先,是我國唯一一家生產高端前道光刻機整機的公司,其目前可生產加工90nm工藝製程的光刻機,同時承擔國家科技重大專項“極大規模集成電路製造裝備與成套工藝專項”(02專項)的65nm光刻機研製,代表國產光刻機最高水平。但與阿斯麥7nm工藝製程EUV光刻機相比,仍存在非常大的差距。

3.2、製造過程設備——刻蝕機

刻蝕同樣也是集成電路製造工藝中的重要流程,是與光刻相聯繫的圖形化處理的一種主要工藝。刻蝕利用顯影后的光刻膠圖形作為掩模,在襯底上腐蝕掉一定深度的薄膜物質,隨後得到與光刻膠圖形相同的集成電路圖形。

半導體及半導體設備行業深度研究報告

刻蝕技術按工藝分類可分為溼法刻蝕與幹法刻蝕,其中溼法刻蝕又包括化學刻蝕與電解刻蝕,幹法刻蝕包括離子銑刻蝕、等離子體刻蝕與反應離子刻蝕。幹法刻蝕與溼法刻蝕各有優劣勢,溼法刻蝕各向異性較差,側壁容易產生橫向刻蝕造成刻蝕偏差,通常用於工藝尺寸較大的應用,或用於幹法刻蝕後清洗殘留物等。幹法刻蝕則是目前主流的刻蝕技術,其中以等離子體幹法刻蝕為主導。

半導體及半導體設備行業深度研究報告

等離子體刻蝕機是一種大型真空的全自動的加工設備,一般由多個真空等離子體反應腔和主機傳遞系統構成。等離子體刻蝕設備的分類與刻蝕工藝密切相關,其原理是利用低溫等離子體中處於激發態的遊離基和化學性質活潑的中性原子團,與被刻蝕材料間發生化學反應。根據產生等離子體方法的不同,幹法刻蝕主要分為電容性等離子體刻蝕和電感性等離子體刻蝕。電容性等離子體刻蝕主要是以高能離子在較硬的介質材料上,刻蝕高深寬比的深孔、深溝等微觀結構;而電感性等離子體刻蝕主要是以較低的離子能量和極均勻的離子濃度刻蝕較軟的和較薄的材料。這兩種刻蝕設備涵蓋了主要的刻蝕設備應用。

半導體及半導體設備行業深度研究報告

當前全球刻蝕機市場集中度較高,設備供應商主要有泛林半導體、東京電子、應用材料、日立先端、牛津儀器,五家企業已經可以實現7nm製程。而其中,泛林半導體利用利用其較低的設備成本和簡單的設計,已經逐漸在65nm、45nm設備市場超過東京電子等企業,成為行業龍頭。2017年數據顯示,泛林半導體市場份額為55%,東京電子、應用材料市佔率依次為20%與19%。

半導體及半導體設備行業深度研究報告

國內排名靠前的刻蝕機供應商屈指可數,主要為中微半導體、北方華創和金盛微納科技,不斷向高端製程上發力,提高市場份額。其中,中微半導體在介質刻蝕領域較強,其產品已在包括臺積電、海力士、中芯國際等芯片生產商的20多條生產線上實現了量產;其16nm刻蝕機已經實現商用量產,7-10nm刻蝕機設備幾乎可與世界前沿技術比肩;5nm等離子體蝕刻機已成功通過臺積電驗證,將用於全球首條5nm工藝生產線。北方華創在硅刻蝕和金屬刻蝕領域較強,其55/65nm高密度等離子硅刻蝕機已進入中芯國際產線;28nm硅刻蝕機進入產業化階段,14nm硅刻蝕機正在產線驗證中;金屬硬掩膜刻蝕機攻破28-14nm製程;深硅刻蝕設備也進入東南亞市場。

半導體及半導體設備行業深度研究報告

3.3、製造過程設備——薄膜沉積設備

薄膜沉積工藝,是一連串涉及原子的吸附、吸附原子在表面的擴散及在適當的位置下聚結,在晶圓上沉積一層待處理的薄膜的過程。薄膜製備包括沉積法與生長法,其中以沉積法最為常見,涵蓋物理沉積(PVD)與化學沉積(CVD)。

半導體及半導體設備行業深度研究報告

PVD與CVD技術各有優缺,PVD通過加熱源材料,使原子或分子從源材料表面逸出,從而在襯底上生長薄膜,包括真空蒸鍍和濺射鍍膜。真空蒸鍍指在真空中,把蒸發料(金屬)加熱,使其原子或分子獲得足夠的能量,克服表面的束縛而蒸發到真空中成為蒸氣,蒸氣分子或原子飛行途中遇到基片,就澱積在基片上,形成薄膜。濺射鍍膜則利用高能粒子(通常是由電場加速的正離子如Ar+)撞擊固定表面,使表面離子(原子或分子)逸出。CVD單獨的或綜合地利用熱能、等離子體放電、紫外光照射等形式,使氣態物質在固體表面發生化學反應並在該表面上沉積,形成穩定固態薄膜。

半導體及半導體設備行業深度研究報告

半導體及半導體設備行業深度研究報告

PVD鍍膜領域,在行業發展初期,鍍膜設備以國外供應商為主。國外PVD鍍膜材料廠商與鍍膜設備廠商經過長時間磨合,匹配性表現優異,具有較強的先發優勢。因此,長期以來全球PVD鍍膜設備主要集中於美國、日本,產業集中度高。全球範圍內,應用材料約佔全球市場份額的85%,Evatec與真空技術則分別佔比約6%和5%。

我國PVD鍍膜設備廠商中,以北方華創為代表。北方華創在濺射源、等離子產生與控制技術、腔室設計與仿真模擬技術等多項關鍵技術領域取得了一定突破,獲得了優秀的薄膜沉積工藝結果。公司成功開發的TiNHardmaskPVD、AlpadPVD、AlNPVD、TSVPVD等一系列磁控濺射PVD產品,實現了在集成電路、先進封裝、半導體照明等領域的全面產品佈局。其中應用於28nm/300mm晶圓生產的HardmaskPVD設備已成為國內主流芯片代工廠的Baseline設備,代表著國產集成電路工藝設備的最高水平,併成功進入國際供應鏈體系。

半導體及半導體設備行業深度研究報告

半導體及半導體設備行業深度研究報告

CVD鍍膜領域,集中度同樣較高,頭部三家約佔全球市場份額的70%以上,其中,應用材料佔比30%,東京電子佔比21%,泛林半導體佔比20%。國內供應商方面,北方華創已經先後完成PECVD、APCVD、LPCVD、ALD等設備的開發,其自主開發的臥式PECVD已成功進入海外市場,為多家國際領先光伏製造廠提供解決方案。另外,中微半導體的MOCVD設備在國內已實現國產替代,瀋陽拓荊的65nmPECVD設備已實現銷售。

3.4、封測過程設備

半導體封測流程是半導體制造的後道工序,封裝主要作用是將芯片封裝在支撐物內,以增加防護並提供芯片和PCB之間的互聯。半導體封測端主要工藝環節包括背部減薄——晶圓切割——貼片——鍵合——模塑——電鍍——切筋成型——終測打標。

封裝方式分為傳統封裝與先進封裝,而目前先進封裝的發展趨向越來越明顯,據YoleDevelopment數據預測,全球先進封裝市場將在2020年時達到整體集成電路封裝服務的44%,年營業收入約為315億美元;中國先進封裝市場規模將在2020年達46億美元。而從技術角度來看,FOWLP、SiP、3DTSV成為最受關注的三種先進封測技術。

半導體及半導體設備行業深度研究報告

根據國際半導體產業協會SEMI數據,全球封裝測試設備市場穩步擴張,2017年全球封裝測試設備市場規模達83.1億美元,同比增長27.9%。在全球封測行業市場中,目前三足鼎立的局勢已經形成。

半導體及半導體設備行業深度研究報告

半導體及半導體設備行業深度研究報告

半導體及半導體設備行業深度研究報告

相比於半導體制造領域,我國半導體封測領域已經邁入到較為前列的階段。近年來,我國封測設備規模不斷提升,2017年市場規模206.1億元(30.5億美元),同比增速達到18.6%。而2017年國內半導體設備市場規模為82.3億美元,封裝測試設備佔比超過1/3,達到37.1%。封測設備市場中,封裝設備市場14.0億美元,測試設備與封裝模具市場為16.5億美元。

半導體及半導體設備行業深度研究報告

國內半導體封測設備廠商通過不斷展開資本併購,及加大技術研發投入,已經在封測設備市場擁有較高市場份額。同前文描述,2017年,我國大陸廠商長電科技、華天科技、通富微電已在全球封測設備行業中分別排名第3、第6、第7,帶來整個半導體設備產業鏈的發展信心。

半導體及半導體設備行業深度研究報告

四、國外半導體設備典型公司

國外半導體技術發展較早,發展水平高,市場集中度高,佔據半導體設備市場主要份額。阿斯麥(ASML)、泛林半導體(LAM)和應用材料(LAM)三家公司處於世界領先地位,2016年,ASML在光刻設備的市佔率高達75.30%,LAM在刻蝕的市佔率為52.70%,AMAT在PVD和CVD的市佔率分別為84.90%和29.60%。隨著技術進步、應用領域擴大和社會對半導體的需求增長,三家公司的淨利潤和毛利率均呈上升趨勢。

半導體及半導體設備行業深度研究報告

1.阿斯麥(ASML)——全球光刻機設備龍頭

阿斯麥公司是荷蘭的一家先進的半導體設備系統提供商,公司成立於1984年,於1995年在納斯達克市場公開上市。公司主要提供光刻系統,為製造複雜的集成電路提供了一個產品組合。產品可分為DUV、EUV和應用三大類,自上世紀80年代以來,阿斯麥一共研發了4代光刻機技術,具體涵蓋TWINSCANXT-NXT(DUV)、TWINSCANNXE(EUV)、PAS5500、PAS2500/5000等產品。

半導體及半導體設備行業深度研究報告

半導體及半導體設備行業深度研究報告

阿斯麥主營業務收入呈逐年增長趨勢,截至2018年,韓國(34.04%)佔比最大,其他依次是中國臺灣(18.18%)、美國(17.92%)、中國大陸(16.84%)和歐洲中東非洲(5.77%)等地區。2016年開拓中國大陸市場,當年佔比11.47%,2017年佔比10.17%和2018年佔比16.84%,隨著國內對半導體的需求增加,中國大陸營收佔比呈上升趨勢。

半導體及半導體設備行業深度研究報告

阿斯麥2014至2018年營業收入和歸母淨利潤均呈上升趨勢,營收增速也逐年增加,近年增速放緩,仍具一定成長空間。主營業務收入顯示,ArFimmersion(浸沒式ArF光刻機)佔比最高,為58.20%。其次是EUV(極紫外光刻機),為22.76%。

半導體及半導體設備行業深度研究報告

半導體及半導體設備行業深度研究報告

2.泛林半導體(LAMRESEARCH)——全球刻蝕機設備龍頭

泛林半導體公司(LAM)於1980年建立,是一家提供晶圓製造設備和服務的供應商,1984年在納斯達克首次公開上市。該公司致力於生產、銷售和維修製造集成電路時使用的半導體處理設備,以刻蝕機與薄膜沉積設備為主。客戶群包括領先的半導體存儲器、代工廠和製造產品的集成設備製造商。

半導體及半導體設備行業深度研究報告

泛林半導體廣泛開展對外業務,2018年各地區銷售佔比如下:中國臺灣(12.62%)、韓國(34.60%)、北美(7.41%)、中國大陸(16.11%)和日本(17.00%)。該公司於1990年進入中國大陸市場,近五年佔比呈逐年增長趨勢,2014年佔比13.53%,2018年增長至16.11%。

半導體及半導體設備行業深度研究報告

近五年主營業務收入由於存儲器需求強勁和技術更迭呈逐年增長趨勢。泛林半導體2018Q4存儲芯片設備(NVM+DRAM)出貨量佔比在80%以上,其中佔比最大的是NVM(55.00%),其次是DRAM(25.00%)、Foundry(13.00%)和logic/Other(7.00%)。

半導體及半導體設備行業深度研究報告

半導體及半導體設備行業深度研究報告

3.應用材料(AMAT)——全球CVD設備龍頭

應用材料於1967年成立,是全球最大的半導體生產器材製造商。1972年納斯達克上市,1992年成為世界上第一大半導體設備生產商並保持至今。該公司為全球半導體、平板顯示器、太陽能光伏發電及相關行業提供製造設備、服務以及軟件產品,產品包括:半導體圓片的化學蒸氣沉積系統設備,半導體薄片裝配,刻蝕及離子注入設備和Precision5000單芯片處理等。

半導體及半導體設備行業深度研究報告

應用材料營業收入逐年增長,2018年主營業務收入來源佔比較大的公司為三星電子(13.00%)、臺灣半導體制造商(11.00%)、英特爾公司(11.00%)。中國臺灣、韓國、中國大陸等地區收入佔比較大。

半導體及半導體設備行業深度研究報告

應用材料公司近五年主營業務和淨利潤呈穩定上漲趨勢,2017年較2016年增幅明顯,2018年稍顯回落。2019H1市場供過於求,價格短期內走軟,營收增速略微放緩,為-16.99%。主營業務收入佔比為最大的是閃存(36.00%),其次是DRAM(27.00%)、硅片代工(24.00%)、邏輯芯片及其他(13.00%)。

半導體及半導體設備行業深度研究報告

半導體及半導體設備行業深度研究報告

五、國內半導體設備典型公司

近年來,半導體產業受到我國國家政策大力支持,但我國集成電路裝備國產化率水平較低,光刻機、刻蝕機等核心裝備技術存在很大困難,與國外差距較大。但是隨著國內半導體設備龍頭持續加大投入,目前在部分關鍵設備領域已經取得突破,晶盛機電、北方華創、長川科技和精測電子等公司銷售規模和裝機量快速增長,淨利潤近三年上升幅度較大,處於國內領先地位。

1.晶盛機電

晶盛機電2012年在深圳創業板上市,下屬11家子公司,3個研發中心,是一家專注於“先進材料、先進裝備”的高新技術企業,專業從事晶體生長、加工裝備研發製造和藍寶石材料生產,多年來與國內大型硅片廠商保持著良好的合作關係,具有技術與市場領先優勢。主營產品可分為半導體領域、光伏領域和LED照明領域,晶體硅生產設備佔比高達76.50%。

2018年,隨著光伏產業的發展,晶體生長設備尤其是單晶硅生長爐及智能化加工設備需求較好,驗收的產品較上年同期增長;半導體設備銷售增長較快,對業績有積極影響。2019Q1期間,光伏平價上網逐步推進,市場對高效單晶硅片和高效疊瓦組件需求量增大,該公司實現營業收入同比增長0.40%,利潤總額同比下降6.05%,歸母淨利潤同比下降6.73%。

2.北方華創

北方華創是由北京七星華創電子股份有限公司和北京北方微電子基地設備工藝研究中心有限責任公司於2016年完成戰略重組而成,主要產品為高端電子工藝裝備和精密電子元器件,是一家集研發、生產、銷售及技術服務於一體的高科技企業集團,基於原下屬各單元業務的相關係整合資源,著力打造在半導體裝備、真空裝備、新能源鋰電裝備和精密元器件四大業務領域的領先地位,其中半導體裝備業務和電子元器件業務擁有突出發展優勢,電子工藝裝備佔主營業務收入比重高達75.90%,電子元器件佔比略低23.70%。

2016年重組後北方華創經營情況取得突破性發展,受益於電子工藝設備及元器件發展的利好市場因素驅動,單晶硅高效電池技術發展和成本下降,主營業務收入持續增加,增速穩步增長。2017年新能源汽車產量的快速增長帶來的鋰電設備投資市場成長空間、由於電子信息技術進步而形成的航空航天等高精尖領域對新型電子元器件需求的快速增長,北方華創主營業務保持往年的高水平進一步發展。2018年,繼續加強技術創新能力建設,業務發展取得顯著進步,在各細分市場獲得廣泛應用。2019Q1增速較2018年增速有所下降,但仍處於較高水平,未來發展仍較為可觀。

3.長川科技

長川科技是一家致力於提升我國集成電路專用測試技術水平、積極推動集成電路裝備業升級的國家高新技術企業和軟件企業,主要從事集成電路專用設備的研發、生產和銷售。主要產品為集成電路封裝測試企業、晶圓製造企業、芯片設計企業等提供測試設備,集成電路測試設備主要包括測試機、分選機、探針臺、自動化生產線等,目前主要產品包括測試機、分選機及自動化生產線。其中,測試機佔主營業務收入比重最大,為54.40%。分選機佔比稍低,為40.00%,二者相差較小。

2018年,受到半導體行業週期波動影響,公司主營業務收入增速放緩,淨利潤受研發投入加大及限制性股票股份支付費用影響,較同期相比有所下降。同年,公司對外積極開拓了臺灣市場和東南亞市場,擴大市場份額和應用領域,客戶結構持續優化。2019Q1,受全球半導體市場影響,收入與去年同期略為下降,又由於研發投入同比大幅度增加,淨利潤有所下降。

4.精測電子

2016年11月,精測電子在深圳證券交易所創業板上市,主要從事平板顯示檢測系統的研發、生產與銷售,主營產品包括模組檢測系統、面板檢測系統、OLED檢測系統、AOI光學檢測系統和平板顯示自動化設備。公司AOI光學檢測系統佔主營業務收入比重高居首位,為39.60%,模組檢測系統(21.40%)、平板顯示自動化設備(19.10%)、OLED檢測系統(16.50%)佔主營業務收入比重依次下降。

2018年,精測電子初步完成半導體測試和新能源測試領域佈局,國內平板顯示行業投資繼續增加,平板顯示檢測設備的市場需求同步增長,主營業務收入增加顯著。2019Q1,公司不斷深入面板中前道製程,大力推動AOI及OLED產品發展,顯示領域各產品線均取得快速增長,進一步鞏固了行業優勢,取得了良好的經營成績,比上年同期增長65.53%。

溫馨提示:如需原文檔,可在PC端登陸未來智庫www.vzkoo.com搜索下載本報告。

(報告來源:川財證券;分析師:方科)

相關推薦

推薦中...