'能否顛覆新格局,英特爾三項全新封裝技術呼之欲出'

"

——翻譯自Tomshardware和部分整理

由於基本技術挑戰和財務因素,根據摩爾定律對單片集成電路密度的提升速度已經放緩。然而,從架構的角度來看,最終成品需求的多樣性仍在不斷增長。正在採用新的異構處理單元來優化以數據為中心的應用程序。但是,傳統的處理器 - 內存接口延遲阻礙了這些應用所需的性能產出。相信Semiwiki的老讀者對高級多芯片封裝產品的最新進展已經有所耳聞,也就是基於2.5D硅中介層和基於3D硅通孔拓撲。

在英特爾舉辦的高級封裝研討會上,英特爾封裝/測試技術開發(ATTD)副總裁Ram Viswanath說到:"我們開發了獨特的3D和2.5D封裝技術,並且我們渴望與客戶分享。產品架構師現在有能力追求具有前所未有的規模和功能多樣性的MCP。"這是出人意料的發言, 一些會員甚至要求Ram給予確認。毋庸置疑,世界上最大的半導體IDM正熱情地與客戶一起尋求MCP設計合作。

隨後,英特爾在美國西部半導體展(SEMICON West)上展示了三種新的封裝技術:Co-EMIB、全向互連(ODI)和多裸晶 I/O(MDIO)。這些新技術通過將多個裸晶拼接成一個處理器,實現了大規模設計。這些技術基於英特爾的 2.5D EMIB 和 3D Foveros 技術,旨在為異構封裝帶來近乎單片的功耗和性能。對於數據中心來說,這能夠讓平臺範圍遠遠超出單個裸晶的裸晶尺寸限制。

半導體的焦點通常集中在工藝節點本身,而封裝則成為現代半導體中一個往往受到忽視的推動因素。最終,硅芯片僅僅是需要電源和數據互連的更龐大系統的一部分。從這個角度來看,封裝提供了處理器和主板之間的物理接口,主板則充當芯片電信號和電源的著陸區。英特爾幾年前表示,它的組裝和測試研發規模比規模最大的兩家 OSAT(外包組裝和測試公司)加起來還要大。

"

——翻譯自Tomshardware和部分整理

由於基本技術挑戰和財務因素,根據摩爾定律對單片集成電路密度的提升速度已經放緩。然而,從架構的角度來看,最終成品需求的多樣性仍在不斷增長。正在採用新的異構處理單元來優化以數據為中心的應用程序。但是,傳統的處理器 - 內存接口延遲阻礙了這些應用所需的性能產出。相信Semiwiki的老讀者對高級多芯片封裝產品的最新進展已經有所耳聞,也就是基於2.5D硅中介層和基於3D硅通孔拓撲。

在英特爾舉辦的高級封裝研討會上,英特爾封裝/測試技術開發(ATTD)副總裁Ram Viswanath說到:"我們開發了獨特的3D和2.5D封裝技術,並且我們渴望與客戶分享。產品架構師現在有能力追求具有前所未有的規模和功能多樣性的MCP。"這是出人意料的發言, 一些會員甚至要求Ram給予確認。毋庸置疑,世界上最大的半導體IDM正熱情地與客戶一起尋求MCP設計合作。

隨後,英特爾在美國西部半導體展(SEMICON West)上展示了三種新的封裝技術:Co-EMIB、全向互連(ODI)和多裸晶 I/O(MDIO)。這些新技術通過將多個裸晶拼接成一個處理器,實現了大規模設計。這些技術基於英特爾的 2.5D EMIB 和 3D Foveros 技術,旨在為異構封裝帶來近乎單片的功耗和性能。對於數據中心來說,這能夠讓平臺範圍遠遠超出單個裸晶的裸晶尺寸限制。

半導體的焦點通常集中在工藝節點本身,而封裝則成為現代半導體中一個往往受到忽視的推動因素。最終,硅芯片僅僅是需要電源和數據互連的更龐大系統的一部分。從這個角度來看,封裝提供了處理器和主板之間的物理接口,主板則充當芯片電信號和電源的著陸區。英特爾幾年前表示,它的組裝和測試研發規模比規模最大的兩家 OSAT(外包組裝和測試公司)加起來還要大。

能否顛覆新格局,英特爾三項全新封裝技術呼之欲出

封裝創新使更小的封裝成為可能,從而能夠容納更大的電池,正如我們所看到的 Broadwell-Y 那樣。通過使用硅中介層集成高帶寬內存 (HBM),實現了類似的電路板尺寸縮減。隨著行業傾向於使用小芯片構建模塊的異構設計範例,平臺級互連變得非常重要。

EMIB

自 2017 年以來,英特爾一直在發佈 EMIB(嵌入式多芯片互連橋接),這是一種低成本的硅中介層替代品,英特爾還計劃將這種小芯片戰略引入到主流芯片中。簡而言之,EMIB 是一個硅芯片橋,能夠在兩個芯片之間建立起高速通道。硅芯片橋將嵌入到兩個相鄰裸晶之間的封裝內。

與可能有光罩大小 (832mm2) 或更大的硅中介層相比,EMIB 只是一塊很小的硅芯片,因此價格便宜。與傳統上用於多芯片封裝(MCP)的標準封裝走線(例如 AMD 的無限結構)相比,它具備與硅中介層相同的帶寬和每比特能量優勢。(在某種程度上,由於 PCH 是一個獨立的裸晶,小芯片實際上已經存在了很長時間。)

EMIB 的另一個優勢是能夠根據自己最合適的工藝技術構建芯片的各項功能或 IP 塊,這樣就可以通過使用較小的裸晶來降低成本並提高產量。EMIB 還有其他幾項優勢,例如允許設計人員從小芯片庫中構建芯片,利用每個時間點上可用的最佳小芯片,以實現 IP 開發和集成的分離。英特爾目前在 Stratix 10、Agilex FPGAs 和 Kaby Lake-G 中均使用 EMIB,公司在其路線圖中對這一技術有更廣泛的計劃。

Foveros

"

——翻譯自Tomshardware和部分整理

由於基本技術挑戰和財務因素,根據摩爾定律對單片集成電路密度的提升速度已經放緩。然而,從架構的角度來看,最終成品需求的多樣性仍在不斷增長。正在採用新的異構處理單元來優化以數據為中心的應用程序。但是,傳統的處理器 - 內存接口延遲阻礙了這些應用所需的性能產出。相信Semiwiki的老讀者對高級多芯片封裝產品的最新進展已經有所耳聞,也就是基於2.5D硅中介層和基於3D硅通孔拓撲。

在英特爾舉辦的高級封裝研討會上,英特爾封裝/測試技術開發(ATTD)副總裁Ram Viswanath說到:"我們開發了獨特的3D和2.5D封裝技術,並且我們渴望與客戶分享。產品架構師現在有能力追求具有前所未有的規模和功能多樣性的MCP。"這是出人意料的發言, 一些會員甚至要求Ram給予確認。毋庸置疑,世界上最大的半導體IDM正熱情地與客戶一起尋求MCP設計合作。

隨後,英特爾在美國西部半導體展(SEMICON West)上展示了三種新的封裝技術:Co-EMIB、全向互連(ODI)和多裸晶 I/O(MDIO)。這些新技術通過將多個裸晶拼接成一個處理器,實現了大規模設計。這些技術基於英特爾的 2.5D EMIB 和 3D Foveros 技術,旨在為異構封裝帶來近乎單片的功耗和性能。對於數據中心來說,這能夠讓平臺範圍遠遠超出單個裸晶的裸晶尺寸限制。

半導體的焦點通常集中在工藝節點本身,而封裝則成為現代半導體中一個往往受到忽視的推動因素。最終,硅芯片僅僅是需要電源和數據互連的更龐大系統的一部分。從這個角度來看,封裝提供了處理器和主板之間的物理接口,主板則充當芯片電信號和電源的著陸區。英特爾幾年前表示,它的組裝和測試研發規模比規模最大的兩家 OSAT(外包組裝和測試公司)加起來還要大。

能否顛覆新格局,英特爾三項全新封裝技術呼之欲出

封裝創新使更小的封裝成為可能,從而能夠容納更大的電池,正如我們所看到的 Broadwell-Y 那樣。通過使用硅中介層集成高帶寬內存 (HBM),實現了類似的電路板尺寸縮減。隨著行業傾向於使用小芯片構建模塊的異構設計範例,平臺級互連變得非常重要。

EMIB

自 2017 年以來,英特爾一直在發佈 EMIB(嵌入式多芯片互連橋接),這是一種低成本的硅中介層替代品,英特爾還計劃將這種小芯片戰略引入到主流芯片中。簡而言之,EMIB 是一個硅芯片橋,能夠在兩個芯片之間建立起高速通道。硅芯片橋將嵌入到兩個相鄰裸晶之間的封裝內。

與可能有光罩大小 (832mm2) 或更大的硅中介層相比,EMIB 只是一塊很小的硅芯片,因此價格便宜。與傳統上用於多芯片封裝(MCP)的標準封裝走線(例如 AMD 的無限結構)相比,它具備與硅中介層相同的帶寬和每比特能量優勢。(在某種程度上,由於 PCH 是一個獨立的裸晶,小芯片實際上已經存在了很長時間。)

EMIB 的另一個優勢是能夠根據自己最合適的工藝技術構建芯片的各項功能或 IP 塊,這樣就可以通過使用較小的裸晶來降低成本並提高產量。EMIB 還有其他幾項優勢,例如允許設計人員從小芯片庫中構建芯片,利用每個時間點上可用的最佳小芯片,以實現 IP 開發和集成的分離。英特爾目前在 Stratix 10、Agilex FPGAs 和 Kaby Lake-G 中均使用 EMIB,公司在其路線圖中對這一技術有更廣泛的計劃。

Foveros

能否顛覆新格局,英特爾三項全新封裝技術呼之欲出

在去年的架構日上,英特爾更進一步描述了其即將推出的 3D Foveros技術將用於 Lakefield 中。概括地說,它是一種有源硅中介層,它使用硅通孔 (TSV) 將多層硅堆疊在一起。它比EMIB具有更低功耗和更高帶寬,儘管英特爾沒有討論它們的相對成本。

在Lakefield中,使用Foveros將22FFL上的基礎裸晶(提供電源輸送和PCH功能)連接到10納米的計算裸晶,後者具有四個Tremont 和一個 Sunny Cove 內核。今年 5 月,英特爾自嘲了一把其先進概念產品的願景:結合使用 EMIB 和 Foveros 創造出一個由多個芯片組成的單個巨無霸封裝。

週二,英特爾在美國西部半導體展上發佈了它正在開發的三種更先進的封裝技術。

Co-EMIB

Co-EMIB 這項技術可以在很大程度上使上述以數據為中心的異構產品成為現實。它本質上可以讓英特爾將多個 3D 堆疊的 Foveros 芯片連接在一起,以創建更大的系統。

"

——翻譯自Tomshardware和部分整理

由於基本技術挑戰和財務因素,根據摩爾定律對單片集成電路密度的提升速度已經放緩。然而,從架構的角度來看,最終成品需求的多樣性仍在不斷增長。正在採用新的異構處理單元來優化以數據為中心的應用程序。但是,傳統的處理器 - 內存接口延遲阻礙了這些應用所需的性能產出。相信Semiwiki的老讀者對高級多芯片封裝產品的最新進展已經有所耳聞,也就是基於2.5D硅中介層和基於3D硅通孔拓撲。

在英特爾舉辦的高級封裝研討會上,英特爾封裝/測試技術開發(ATTD)副總裁Ram Viswanath說到:"我們開發了獨特的3D和2.5D封裝技術,並且我們渴望與客戶分享。產品架構師現在有能力追求具有前所未有的規模和功能多樣性的MCP。"這是出人意料的發言, 一些會員甚至要求Ram給予確認。毋庸置疑,世界上最大的半導體IDM正熱情地與客戶一起尋求MCP設計合作。

隨後,英特爾在美國西部半導體展(SEMICON West)上展示了三種新的封裝技術:Co-EMIB、全向互連(ODI)和多裸晶 I/O(MDIO)。這些新技術通過將多個裸晶拼接成一個處理器,實現了大規模設計。這些技術基於英特爾的 2.5D EMIB 和 3D Foveros 技術,旨在為異構封裝帶來近乎單片的功耗和性能。對於數據中心來說,這能夠讓平臺範圍遠遠超出單個裸晶的裸晶尺寸限制。

半導體的焦點通常集中在工藝節點本身,而封裝則成為現代半導體中一個往往受到忽視的推動因素。最終,硅芯片僅僅是需要電源和數據互連的更龐大系統的一部分。從這個角度來看,封裝提供了處理器和主板之間的物理接口,主板則充當芯片電信號和電源的著陸區。英特爾幾年前表示,它的組裝和測試研發規模比規模最大的兩家 OSAT(外包組裝和測試公司)加起來還要大。

能否顛覆新格局,英特爾三項全新封裝技術呼之欲出

封裝創新使更小的封裝成為可能,從而能夠容納更大的電池,正如我們所看到的 Broadwell-Y 那樣。通過使用硅中介層集成高帶寬內存 (HBM),實現了類似的電路板尺寸縮減。隨著行業傾向於使用小芯片構建模塊的異構設計範例,平臺級互連變得非常重要。

EMIB

自 2017 年以來,英特爾一直在發佈 EMIB(嵌入式多芯片互連橋接),這是一種低成本的硅中介層替代品,英特爾還計劃將這種小芯片戰略引入到主流芯片中。簡而言之,EMIB 是一個硅芯片橋,能夠在兩個芯片之間建立起高速通道。硅芯片橋將嵌入到兩個相鄰裸晶之間的封裝內。

與可能有光罩大小 (832mm2) 或更大的硅中介層相比,EMIB 只是一塊很小的硅芯片,因此價格便宜。與傳統上用於多芯片封裝(MCP)的標準封裝走線(例如 AMD 的無限結構)相比,它具備與硅中介層相同的帶寬和每比特能量優勢。(在某種程度上,由於 PCH 是一個獨立的裸晶,小芯片實際上已經存在了很長時間。)

EMIB 的另一個優勢是能夠根據自己最合適的工藝技術構建芯片的各項功能或 IP 塊,這樣就可以通過使用較小的裸晶來降低成本並提高產量。EMIB 還有其他幾項優勢,例如允許設計人員從小芯片庫中構建芯片,利用每個時間點上可用的最佳小芯片,以實現 IP 開發和集成的分離。英特爾目前在 Stratix 10、Agilex FPGAs 和 Kaby Lake-G 中均使用 EMIB,公司在其路線圖中對這一技術有更廣泛的計劃。

Foveros

能否顛覆新格局,英特爾三項全新封裝技術呼之欲出

在去年的架構日上,英特爾更進一步描述了其即將推出的 3D Foveros技術將用於 Lakefield 中。概括地說,它是一種有源硅中介層,它使用硅通孔 (TSV) 將多層硅堆疊在一起。它比EMIB具有更低功耗和更高帶寬,儘管英特爾沒有討論它們的相對成本。

在Lakefield中,使用Foveros將22FFL上的基礎裸晶(提供電源輸送和PCH功能)連接到10納米的計算裸晶,後者具有四個Tremont 和一個 Sunny Cove 內核。今年 5 月,英特爾自嘲了一把其先進概念產品的願景:結合使用 EMIB 和 Foveros 創造出一個由多個芯片組成的單個巨無霸封裝。

週二,英特爾在美國西部半導體展上發佈了它正在開發的三種更先進的封裝技術。

Co-EMIB

Co-EMIB 這項技術可以在很大程度上使上述以數據為中心的異構產品成為現實。它本質上可以讓英特爾將多個 3D 堆疊的 Foveros 芯片連接在一起,以創建更大的系統。

能否顛覆新格局,英特爾三項全新封裝技術呼之欲出

英特爾展示了一個包含四個 Foveros 堆棧的概念產品,每個堆棧有八個小型計算小芯片,並通過 TSV 連接到基礎裸晶。(因此 Foveros 的作用就是連接小芯片,起到如同單片裸晶的作用)。隨後每個 Foveros 堆棧會通過兩個Co-EMIB 鏈接與其兩個相鄰的 Foveros 堆棧互連。Co-EMIB 還用於將 HBM 和收發器連接到計算堆棧。

顯然,這種產品將產生巨大成本,因為它本質上在單個封裝內就包含了多個傳統的單片級產品。這很可能是英特爾將其歸類為以數據為中心的概念產品的原因,它主要針對那些非常樂意支付這些成本來換取額外性能的雲參與者。

吸引力在於整個封裝提供了近乎單片的性能和互連能力。此外,Co-EMIB 相對於單片裸晶的優勢在於,異構封裝可以遠遠超出單片裸晶芯片尺寸限制,每個 IP 都位於其自己最合適的工藝節點上。在 5 月份的投資者會議上,工程主管 Murthy 表示,早在兩年前,Foveros 就讓公司能夠使用較小的小芯片來攔截新的工藝技術。

"

——翻譯自Tomshardware和部分整理

由於基本技術挑戰和財務因素,根據摩爾定律對單片集成電路密度的提升速度已經放緩。然而,從架構的角度來看,最終成品需求的多樣性仍在不斷增長。正在採用新的異構處理單元來優化以數據為中心的應用程序。但是,傳統的處理器 - 內存接口延遲阻礙了這些應用所需的性能產出。相信Semiwiki的老讀者對高級多芯片封裝產品的最新進展已經有所耳聞,也就是基於2.5D硅中介層和基於3D硅通孔拓撲。

在英特爾舉辦的高級封裝研討會上,英特爾封裝/測試技術開發(ATTD)副總裁Ram Viswanath說到:"我們開發了獨特的3D和2.5D封裝技術,並且我們渴望與客戶分享。產品架構師現在有能力追求具有前所未有的規模和功能多樣性的MCP。"這是出人意料的發言, 一些會員甚至要求Ram給予確認。毋庸置疑,世界上最大的半導體IDM正熱情地與客戶一起尋求MCP設計合作。

隨後,英特爾在美國西部半導體展(SEMICON West)上展示了三種新的封裝技術:Co-EMIB、全向互連(ODI)和多裸晶 I/O(MDIO)。這些新技術通過將多個裸晶拼接成一個處理器,實現了大規模設計。這些技術基於英特爾的 2.5D EMIB 和 3D Foveros 技術,旨在為異構封裝帶來近乎單片的功耗和性能。對於數據中心來說,這能夠讓平臺範圍遠遠超出單個裸晶的裸晶尺寸限制。

半導體的焦點通常集中在工藝節點本身,而封裝則成為現代半導體中一個往往受到忽視的推動因素。最終,硅芯片僅僅是需要電源和數據互連的更龐大系統的一部分。從這個角度來看,封裝提供了處理器和主板之間的物理接口,主板則充當芯片電信號和電源的著陸區。英特爾幾年前表示,它的組裝和測試研發規模比規模最大的兩家 OSAT(外包組裝和測試公司)加起來還要大。

能否顛覆新格局,英特爾三項全新封裝技術呼之欲出

封裝創新使更小的封裝成為可能,從而能夠容納更大的電池,正如我們所看到的 Broadwell-Y 那樣。通過使用硅中介層集成高帶寬內存 (HBM),實現了類似的電路板尺寸縮減。隨著行業傾向於使用小芯片構建模塊的異構設計範例,平臺級互連變得非常重要。

EMIB

自 2017 年以來,英特爾一直在發佈 EMIB(嵌入式多芯片互連橋接),這是一種低成本的硅中介層替代品,英特爾還計劃將這種小芯片戰略引入到主流芯片中。簡而言之,EMIB 是一個硅芯片橋,能夠在兩個芯片之間建立起高速通道。硅芯片橋將嵌入到兩個相鄰裸晶之間的封裝內。

與可能有光罩大小 (832mm2) 或更大的硅中介層相比,EMIB 只是一塊很小的硅芯片,因此價格便宜。與傳統上用於多芯片封裝(MCP)的標準封裝走線(例如 AMD 的無限結構)相比,它具備與硅中介層相同的帶寬和每比特能量優勢。(在某種程度上,由於 PCH 是一個獨立的裸晶,小芯片實際上已經存在了很長時間。)

EMIB 的另一個優勢是能夠根據自己最合適的工藝技術構建芯片的各項功能或 IP 塊,這樣就可以通過使用較小的裸晶來降低成本並提高產量。EMIB 還有其他幾項優勢,例如允許設計人員從小芯片庫中構建芯片,利用每個時間點上可用的最佳小芯片,以實現 IP 開發和集成的分離。英特爾目前在 Stratix 10、Agilex FPGAs 和 Kaby Lake-G 中均使用 EMIB,公司在其路線圖中對這一技術有更廣泛的計劃。

Foveros

能否顛覆新格局,英特爾三項全新封裝技術呼之欲出

在去年的架構日上,英特爾更進一步描述了其即將推出的 3D Foveros技術將用於 Lakefield 中。概括地說,它是一種有源硅中介層,它使用硅通孔 (TSV) 將多層硅堆疊在一起。它比EMIB具有更低功耗和更高帶寬,儘管英特爾沒有討論它們的相對成本。

在Lakefield中,使用Foveros將22FFL上的基礎裸晶(提供電源輸送和PCH功能)連接到10納米的計算裸晶,後者具有四個Tremont 和一個 Sunny Cove 內核。今年 5 月,英特爾自嘲了一把其先進概念產品的願景:結合使用 EMIB 和 Foveros 創造出一個由多個芯片組成的單個巨無霸封裝。

週二,英特爾在美國西部半導體展上發佈了它正在開發的三種更先進的封裝技術。

Co-EMIB

Co-EMIB 這項技術可以在很大程度上使上述以數據為中心的異構產品成為現實。它本質上可以讓英特爾將多個 3D 堆疊的 Foveros 芯片連接在一起,以創建更大的系統。

能否顛覆新格局,英特爾三項全新封裝技術呼之欲出

英特爾展示了一個包含四個 Foveros 堆棧的概念產品,每個堆棧有八個小型計算小芯片,並通過 TSV 連接到基礎裸晶。(因此 Foveros 的作用就是連接小芯片,起到如同單片裸晶的作用)。隨後每個 Foveros 堆棧會通過兩個Co-EMIB 鏈接與其兩個相鄰的 Foveros 堆棧互連。Co-EMIB 還用於將 HBM 和收發器連接到計算堆棧。

顯然,這種產品將產生巨大成本,因為它本質上在單個封裝內就包含了多個傳統的單片級產品。這很可能是英特爾將其歸類為以數據為中心的概念產品的原因,它主要針對那些非常樂意支付這些成本來換取額外性能的雲參與者。

吸引力在於整個封裝提供了近乎單片的性能和互連能力。此外,Co-EMIB 相對於單片裸晶的優勢在於,異構封裝可以遠遠超出單片裸晶芯片尺寸限制,每個 IP 都位於其自己最合適的工藝節點上。在 5 月份的投資者會議上,工程主管 Murthy 表示,早在兩年前,Foveros 就讓公司能夠使用較小的小芯片來攔截新的工藝技術。

能否顛覆新格局,英特爾三項全新封裝技術呼之欲出

圖片來源:英特爾

當然,由於EMIB是封裝內部的一個橋接,因此它是在組裝過程開始時插入的,隨後再插入Foveros堆棧。WikiChip 提供了一張 Co-EMIB 圖,用於連接兩個 Foveros 堆棧。

ODI

"

——翻譯自Tomshardware和部分整理

由於基本技術挑戰和財務因素,根據摩爾定律對單片集成電路密度的提升速度已經放緩。然而,從架構的角度來看,最終成品需求的多樣性仍在不斷增長。正在採用新的異構處理單元來優化以數據為中心的應用程序。但是,傳統的處理器 - 內存接口延遲阻礙了這些應用所需的性能產出。相信Semiwiki的老讀者對高級多芯片封裝產品的最新進展已經有所耳聞,也就是基於2.5D硅中介層和基於3D硅通孔拓撲。

在英特爾舉辦的高級封裝研討會上,英特爾封裝/測試技術開發(ATTD)副總裁Ram Viswanath說到:"我們開發了獨特的3D和2.5D封裝技術,並且我們渴望與客戶分享。產品架構師現在有能力追求具有前所未有的規模和功能多樣性的MCP。"這是出人意料的發言, 一些會員甚至要求Ram給予確認。毋庸置疑,世界上最大的半導體IDM正熱情地與客戶一起尋求MCP設計合作。

隨後,英特爾在美國西部半導體展(SEMICON West)上展示了三種新的封裝技術:Co-EMIB、全向互連(ODI)和多裸晶 I/O(MDIO)。這些新技術通過將多個裸晶拼接成一個處理器,實現了大規模設計。這些技術基於英特爾的 2.5D EMIB 和 3D Foveros 技術,旨在為異構封裝帶來近乎單片的功耗和性能。對於數據中心來說,這能夠讓平臺範圍遠遠超出單個裸晶的裸晶尺寸限制。

半導體的焦點通常集中在工藝節點本身,而封裝則成為現代半導體中一個往往受到忽視的推動因素。最終,硅芯片僅僅是需要電源和數據互連的更龐大系統的一部分。從這個角度來看,封裝提供了處理器和主板之間的物理接口,主板則充當芯片電信號和電源的著陸區。英特爾幾年前表示,它的組裝和測試研發規模比規模最大的兩家 OSAT(外包組裝和測試公司)加起來還要大。

能否顛覆新格局,英特爾三項全新封裝技術呼之欲出

封裝創新使更小的封裝成為可能,從而能夠容納更大的電池,正如我們所看到的 Broadwell-Y 那樣。通過使用硅中介層集成高帶寬內存 (HBM),實現了類似的電路板尺寸縮減。隨著行業傾向於使用小芯片構建模塊的異構設計範例,平臺級互連變得非常重要。

EMIB

自 2017 年以來,英特爾一直在發佈 EMIB(嵌入式多芯片互連橋接),這是一種低成本的硅中介層替代品,英特爾還計劃將這種小芯片戰略引入到主流芯片中。簡而言之,EMIB 是一個硅芯片橋,能夠在兩個芯片之間建立起高速通道。硅芯片橋將嵌入到兩個相鄰裸晶之間的封裝內。

與可能有光罩大小 (832mm2) 或更大的硅中介層相比,EMIB 只是一塊很小的硅芯片,因此價格便宜。與傳統上用於多芯片封裝(MCP)的標準封裝走線(例如 AMD 的無限結構)相比,它具備與硅中介層相同的帶寬和每比特能量優勢。(在某種程度上,由於 PCH 是一個獨立的裸晶,小芯片實際上已經存在了很長時間。)

EMIB 的另一個優勢是能夠根據自己最合適的工藝技術構建芯片的各項功能或 IP 塊,這樣就可以通過使用較小的裸晶來降低成本並提高產量。EMIB 還有其他幾項優勢,例如允許設計人員從小芯片庫中構建芯片,利用每個時間點上可用的最佳小芯片,以實現 IP 開發和集成的分離。英特爾目前在 Stratix 10、Agilex FPGAs 和 Kaby Lake-G 中均使用 EMIB,公司在其路線圖中對這一技術有更廣泛的計劃。

Foveros

能否顛覆新格局,英特爾三項全新封裝技術呼之欲出

在去年的架構日上,英特爾更進一步描述了其即將推出的 3D Foveros技術將用於 Lakefield 中。概括地說,它是一種有源硅中介層,它使用硅通孔 (TSV) 將多層硅堆疊在一起。它比EMIB具有更低功耗和更高帶寬,儘管英特爾沒有討論它們的相對成本。

在Lakefield中,使用Foveros將22FFL上的基礎裸晶(提供電源輸送和PCH功能)連接到10納米的計算裸晶,後者具有四個Tremont 和一個 Sunny Cove 內核。今年 5 月,英特爾自嘲了一把其先進概念產品的願景:結合使用 EMIB 和 Foveros 創造出一個由多個芯片組成的單個巨無霸封裝。

週二,英特爾在美國西部半導體展上發佈了它正在開發的三種更先進的封裝技術。

Co-EMIB

Co-EMIB 這項技術可以在很大程度上使上述以數據為中心的異構產品成為現實。它本質上可以讓英特爾將多個 3D 堆疊的 Foveros 芯片連接在一起,以創建更大的系統。

能否顛覆新格局,英特爾三項全新封裝技術呼之欲出

英特爾展示了一個包含四個 Foveros 堆棧的概念產品,每個堆棧有八個小型計算小芯片,並通過 TSV 連接到基礎裸晶。(因此 Foveros 的作用就是連接小芯片,起到如同單片裸晶的作用)。隨後每個 Foveros 堆棧會通過兩個Co-EMIB 鏈接與其兩個相鄰的 Foveros 堆棧互連。Co-EMIB 還用於將 HBM 和收發器連接到計算堆棧。

顯然,這種產品將產生巨大成本,因為它本質上在單個封裝內就包含了多個傳統的單片級產品。這很可能是英特爾將其歸類為以數據為中心的概念產品的原因,它主要針對那些非常樂意支付這些成本來換取額外性能的雲參與者。

吸引力在於整個封裝提供了近乎單片的性能和互連能力。此外,Co-EMIB 相對於單片裸晶的優勢在於,異構封裝可以遠遠超出單片裸晶芯片尺寸限制,每個 IP 都位於其自己最合適的工藝節點上。在 5 月份的投資者會議上,工程主管 Murthy 表示,早在兩年前,Foveros 就讓公司能夠使用較小的小芯片來攔截新的工藝技術。

能否顛覆新格局,英特爾三項全新封裝技術呼之欲出

圖片來源:英特爾

當然,由於EMIB是封裝內部的一個橋接,因此它是在組裝過程開始時插入的,隨後再插入Foveros堆棧。WikiChip 提供了一張 Co-EMIB 圖,用於連接兩個 Foveros 堆棧。

ODI

能否顛覆新格局,英特爾三項全新封裝技術呼之欲出

全向互連 (ODI) 是一種新型互連技術。它是除標準 MCP、EMIB 和 Foveros 之外的另一種類型的多芯片互連。顧名思義,它允許進行水平和垂直傳輸。其帶寬高於傳統 TSV,因為 ODI TSV 要大得多。它允許從封裝基板直接傳導電流。電阻和延遲也更低。與傳統的 TSV 相比,ODI 在基礎裸晶中需要的垂直通道比傳統 TSV 要少得多。如此最大限度減少了裸晶面積,併為有源晶體管釋放了面積。

MDIO

最後,多裸晶 I/O(MDIO) 是在高級接口總線 (AIB) 的基礎上發展而來,它為 EMIB 提供了標準化 SiP PHY 級接口,用於小芯片間的通信。去年,英特爾將其 AIB 捐贈給 DARPA,作為小芯片的免專利費互連標準。MDIO 將引腳速度從 2Gbps 提升到 5.4Gbps。面帶寬密度有所增加,但主要是由於線性帶寬密度有大幅增加。英特爾將 I/O 電壓擺幅從 0.9V 降至 0.5V,並提升了能效。英特爾還提供了與臺積電最近發佈的 LIPINCON 的對比。

"

——翻譯自Tomshardware和部分整理

由於基本技術挑戰和財務因素,根據摩爾定律對單片集成電路密度的提升速度已經放緩。然而,從架構的角度來看,最終成品需求的多樣性仍在不斷增長。正在採用新的異構處理單元來優化以數據為中心的應用程序。但是,傳統的處理器 - 內存接口延遲阻礙了這些應用所需的性能產出。相信Semiwiki的老讀者對高級多芯片封裝產品的最新進展已經有所耳聞,也就是基於2.5D硅中介層和基於3D硅通孔拓撲。

在英特爾舉辦的高級封裝研討會上,英特爾封裝/測試技術開發(ATTD)副總裁Ram Viswanath說到:"我們開發了獨特的3D和2.5D封裝技術,並且我們渴望與客戶分享。產品架構師現在有能力追求具有前所未有的規模和功能多樣性的MCP。"這是出人意料的發言, 一些會員甚至要求Ram給予確認。毋庸置疑,世界上最大的半導體IDM正熱情地與客戶一起尋求MCP設計合作。

隨後,英特爾在美國西部半導體展(SEMICON West)上展示了三種新的封裝技術:Co-EMIB、全向互連(ODI)和多裸晶 I/O(MDIO)。這些新技術通過將多個裸晶拼接成一個處理器,實現了大規模設計。這些技術基於英特爾的 2.5D EMIB 和 3D Foveros 技術,旨在為異構封裝帶來近乎單片的功耗和性能。對於數據中心來說,這能夠讓平臺範圍遠遠超出單個裸晶的裸晶尺寸限制。

半導體的焦點通常集中在工藝節點本身,而封裝則成為現代半導體中一個往往受到忽視的推動因素。最終,硅芯片僅僅是需要電源和數據互連的更龐大系統的一部分。從這個角度來看,封裝提供了處理器和主板之間的物理接口,主板則充當芯片電信號和電源的著陸區。英特爾幾年前表示,它的組裝和測試研發規模比規模最大的兩家 OSAT(外包組裝和測試公司)加起來還要大。

能否顛覆新格局,英特爾三項全新封裝技術呼之欲出

封裝創新使更小的封裝成為可能,從而能夠容納更大的電池,正如我們所看到的 Broadwell-Y 那樣。通過使用硅中介層集成高帶寬內存 (HBM),實現了類似的電路板尺寸縮減。隨著行業傾向於使用小芯片構建模塊的異構設計範例,平臺級互連變得非常重要。

EMIB

自 2017 年以來,英特爾一直在發佈 EMIB(嵌入式多芯片互連橋接),這是一種低成本的硅中介層替代品,英特爾還計劃將這種小芯片戰略引入到主流芯片中。簡而言之,EMIB 是一個硅芯片橋,能夠在兩個芯片之間建立起高速通道。硅芯片橋將嵌入到兩個相鄰裸晶之間的封裝內。

與可能有光罩大小 (832mm2) 或更大的硅中介層相比,EMIB 只是一塊很小的硅芯片,因此價格便宜。與傳統上用於多芯片封裝(MCP)的標準封裝走線(例如 AMD 的無限結構)相比,它具備與硅中介層相同的帶寬和每比特能量優勢。(在某種程度上,由於 PCH 是一個獨立的裸晶,小芯片實際上已經存在了很長時間。)

EMIB 的另一個優勢是能夠根據自己最合適的工藝技術構建芯片的各項功能或 IP 塊,這樣就可以通過使用較小的裸晶來降低成本並提高產量。EMIB 還有其他幾項優勢,例如允許設計人員從小芯片庫中構建芯片,利用每個時間點上可用的最佳小芯片,以實現 IP 開發和集成的分離。英特爾目前在 Stratix 10、Agilex FPGAs 和 Kaby Lake-G 中均使用 EMIB,公司在其路線圖中對這一技術有更廣泛的計劃。

Foveros

能否顛覆新格局,英特爾三項全新封裝技術呼之欲出

在去年的架構日上,英特爾更進一步描述了其即將推出的 3D Foveros技術將用於 Lakefield 中。概括地說,它是一種有源硅中介層,它使用硅通孔 (TSV) 將多層硅堆疊在一起。它比EMIB具有更低功耗和更高帶寬,儘管英特爾沒有討論它們的相對成本。

在Lakefield中,使用Foveros將22FFL上的基礎裸晶(提供電源輸送和PCH功能)連接到10納米的計算裸晶,後者具有四個Tremont 和一個 Sunny Cove 內核。今年 5 月,英特爾自嘲了一把其先進概念產品的願景:結合使用 EMIB 和 Foveros 創造出一個由多個芯片組成的單個巨無霸封裝。

週二,英特爾在美國西部半導體展上發佈了它正在開發的三種更先進的封裝技術。

Co-EMIB

Co-EMIB 這項技術可以在很大程度上使上述以數據為中心的異構產品成為現實。它本質上可以讓英特爾將多個 3D 堆疊的 Foveros 芯片連接在一起,以創建更大的系統。

能否顛覆新格局,英特爾三項全新封裝技術呼之欲出

英特爾展示了一個包含四個 Foveros 堆棧的概念產品,每個堆棧有八個小型計算小芯片,並通過 TSV 連接到基礎裸晶。(因此 Foveros 的作用就是連接小芯片,起到如同單片裸晶的作用)。隨後每個 Foveros 堆棧會通過兩個Co-EMIB 鏈接與其兩個相鄰的 Foveros 堆棧互連。Co-EMIB 還用於將 HBM 和收發器連接到計算堆棧。

顯然,這種產品將產生巨大成本,因為它本質上在單個封裝內就包含了多個傳統的單片級產品。這很可能是英特爾將其歸類為以數據為中心的概念產品的原因,它主要針對那些非常樂意支付這些成本來換取額外性能的雲參與者。

吸引力在於整個封裝提供了近乎單片的性能和互連能力。此外,Co-EMIB 相對於單片裸晶的優勢在於,異構封裝可以遠遠超出單片裸晶芯片尺寸限制,每個 IP 都位於其自己最合適的工藝節點上。在 5 月份的投資者會議上,工程主管 Murthy 表示,早在兩年前,Foveros 就讓公司能夠使用較小的小芯片來攔截新的工藝技術。

能否顛覆新格局,英特爾三項全新封裝技術呼之欲出

圖片來源:英特爾

當然,由於EMIB是封裝內部的一個橋接,因此它是在組裝過程開始時插入的,隨後再插入Foveros堆棧。WikiChip 提供了一張 Co-EMIB 圖,用於連接兩個 Foveros 堆棧。

ODI

能否顛覆新格局,英特爾三項全新封裝技術呼之欲出

全向互連 (ODI) 是一種新型互連技術。它是除標準 MCP、EMIB 和 Foveros 之外的另一種類型的多芯片互連。顧名思義,它允許進行水平和垂直傳輸。其帶寬高於傳統 TSV,因為 ODI TSV 要大得多。它允許從封裝基板直接傳導電流。電阻和延遲也更低。與傳統的 TSV 相比,ODI 在基礎裸晶中需要的垂直通道比傳統 TSV 要少得多。如此最大限度減少了裸晶面積,併為有源晶體管釋放了面積。

MDIO

最後,多裸晶 I/O(MDIO) 是在高級接口總線 (AIB) 的基礎上發展而來,它為 EMIB 提供了標準化 SiP PHY 級接口,用於小芯片間的通信。去年,英特爾將其 AIB 捐贈給 DARPA,作為小芯片的免專利費互連標準。MDIO 將引腳速度從 2Gbps 提升到 5.4Gbps。面帶寬密度有所增加,但主要是由於線性帶寬密度有大幅增加。英特爾將 I/O 電壓擺幅從 0.9V 降至 0.5V,並提升了能效。英特爾還提供了與臺積電最近發佈的 LIPINCON 的對比。

能否顛覆新格局,英特爾三項全新封裝技術呼之欲出

不過,有一點要提醒大家。儘管表面看來引腳速度越高越好,但事實並非如此,高速度往往會導致高功耗。最好將其視為一整套互連選項。一方面,有些協議具有較高通道速度(因此通道很少),例如 PCIe 4.0 的 32Gbps。另一方面,EMIB 和 HBM 等技術具有較低的每引腳數據速率,但通常它們有更多互連設備。EMIB 的路線圖包括縮小凸塊間距,這樣可提供更多連接,所以高通道率並非優先考慮事項。

進一步討論

當這些技術準備就緒時,它們將為英特爾提供強大的能力,迎接異構和以數據為中心的時代。在客戶端,高級封裝的優勢包括更小的封裝尺寸和更低的功耗(對於 Lakefield,英特爾聲稱其 SoC 待機功耗降低了 10 倍,達到 2.6 mW)。在數據中心,高級封裝將有助於在單個封裝上構建規模超大且功能強大的平臺,其性能、延遲和功耗特性都接近單片裸晶的性能。小芯片的產量優勢和芯片組生態系統的建立也是主要動力。

作為一家集成設備製造商 (IDM),英特爾表示,從硅芯片到架構和平臺,它都能夠以其他公司無法做到的方式廣泛合作開發 IP 和封裝。正如英特爾公司集團副總裁兼封裝測試技術開發部門總經理Babak Sabi 所說:“我們的願景是開發領先技術,將芯片和小芯片封裝在一起,以匹配單片片上系統的功能。異構方法為我們的芯片架構師提供了前所未有的靈活性,讓他們可以將 IP 塊和工藝技術與新設備外形規格中的各種內存和 I/O 元素進行混合和匹配。英特爾的垂直集成結構在異構集成時代提供了優勢,讓我們有無與倫比的能力共同優化架構、流程和封裝,以交付領先產品。”

MDIO 計劃於 2020 年上市。有傳言稱,英特爾將在 2022 年初使用 Foveros,並進而有可能在 Granite Rapids 上使用 Co-EMIB。英特爾尚未確定ODI的時間框架。

"

相關推薦

推薦中...