半導體行業最新研究報告:迎5G之機,鑄中國之芯(86頁)

(溫馨提示:文末有下載方式)

目錄:

  • 產業概覽:半導體是各國科技競賽的主戰場
  • 半導體原材料:推動半導體產業進步的關鍵因素
  • EDA IP核:芯片設計的基礎,突破海外行業壟斷迫在眉睫
  • 半導體設備:半導體芯片製造的基石
  • 設計:共享物聯網時代新機遇,自主突破與創新至關重要
  • 製造:特色工藝差異化競爭及製程迭代為本土廠商創造機遇
  • IC 封測:5G 射頻前端集成化促成先進封裝加速在終端滲透
  • 華為海思:上下游協同、重視人才與研發,鑄就本土半導體驕傲
  • 科創板有望重構 A 股半導體估值體系,助力產業發展

報告內容:

產業概覽:半導體是各國科技競賽的主戰場

在當前中美貿易摩擦不斷反覆,中興、海康、華為、中科曙光等諸多國內重量級科技企業 先後受到美國貿易政策限制、一定程度妨害到各自供應鏈安全之際,“缺芯之痛”再度成 為各界關注的焦點,加速實現半導體產業自主可控的呼聲日益高漲。

而與此同時,萬眾矚目的科創板推出在即,首批名單中半導體公司是主力。截至2019年 6 月 21 日,科創板受理上市輔導的 124 家企業中,有 20 家與半導體行業相關,佔科創板 受理企業的 16%左右。由於科創板設立的初衷是要堅持面向世界科技前沿、面向經濟主戰 場、面向國家重大需求,主要服務於符合國家戰略、突破關鍵核心技術、市場認可度高的 科技創新企業,因此能夠滿足國家戰略需求、實現國產替換的國產半導體企業有望作為未 來投資的核心資產,如何遴選出產業鏈中更有潛力的企業並藉助資本市場的力量使其發展 壯大也就成為二級市場的“重要課題”。

我們認為,感性構畫的“自主可控”願景終究需要理性的規劃與不懈的奮鬥來實現,只有 “知己知彼”方能在歷史的洪流中把握趕超的機遇,在快速演進的產業發展史上贏得一席 之地。因此我們在這篇報告中對於全球半導體產業的現狀、國內半導體產業的發展階段、 典型地區\企業的成敗案例進行分析梳理,以期較為全面客觀的展現國內半導體產業的成績、 不足和發展潛力,為分析半導體企業的競爭力構建基礎。

2018年全球半導體銷售總額 4687.78 億美金,集成電路佔據 84%

半導體是現代信息產業的基礎和核心產業之一,是衡量一個國家或地區科技發展水平乃至 綜合國力的重要標誌。從概念上而言,半導體是指一種導電性能可受控制的材料,從具體 產品形態上可以分為分立器件、光電子、傳感器和集成電路四大類。

半導體行業最新研究報告:迎5G之機,鑄中國之芯(86頁)

根據 WSTS 數據,2018 年全球半導體銷售總額為 4687.78 億美金,其中分立器件銷售額 為 241.02 億美金、光電子銷售額為 380.32 億美金、傳感器銷售額為 133.56 億美金、集 成電路(Integrated Circuit,簡稱 IC)銷售額為 3932.88 億美金,分別佔到全球半導體銷 售總額的 5.14%、8.11%、2.85%、83.9%。

半導體行業最新研究報告:迎5G之機,鑄中國之芯(86頁)

半導體行業最新研究報告:迎5G之機,鑄中國之芯(86頁)

技術密集、資本密集是集成電路產業的顯著特徵

基於對集成電路發展潛力與應用前景的樂觀展望,英特爾創始人之一戈登·摩爾在1965年首次提出“摩爾定律”,預言集成電路上可容納的晶體管數目每隔12個月會增加一倍, 性能也會增加一倍,儘管隨後根據實際情況,業界對於晶體管數目翻倍的時間修正為18-24個月,但是“摩爾定律”依舊在一代代產業人的努力中得以延續,晶圓製造的製程從0.5μm、 0.35μm、0.25μm、0.18μm、0.15μm、0.13μm、90nm、65nm、45nm、32nm、28nm、 22nm、14nm,一直髮展到現在的 10nm、7nm、5nm。

正是由於集成電路這樣快速的技術演進趨勢,對於廠商的技術進步速度、研發和產能投入規模都提出了很高的要求,因此,技術密集和資本密集是集成電路產業的顯著特徵。根據WSTS、SEMI 數據,在 90-65nm 製程,IC 設計費用平均在 1500-2000 萬美金,建廠費 用在 25-30 億美金,工藝研發費用為 2-4 億美金。而到了 14nm 製程,IC 設計費用平均在 2-3 億美金,建廠費用在 71-100 億美金,工藝研發費用在 17-25 億美金。

IC 產業分工深化,Fabless+Foundry 提升產業運作效率

在集成電路技術的演進過程中,芯片的工藝複雜度不斷升高,從而造成芯片設計、掩模製 作等在內的一次性工程費用,以及單顆芯片的加工成本快速上升,而這也就意味著投資額 提升、盈虧平衡所需要的最小銷量提升。在此技術、投資和市場的三重作用下,越來越多 的廠商無力承擔先進芯片的開發全過程,因此誕生了 Fabless+Foundry 的分工模式,在傳 統的 IDM 大廠之外開始湧現了無生產線的集成電路設計公司(Fabless)、不做設計的晶圓 代工廠(Foundry)、專業的知識產權模塊(IP 核)供應商、封裝與測試廠商的出現。由 於 Fabless+Foundry的分工模式一方面提升了“重設備投資”的代工企業的生產效率,另 一方面降低了“輕設備投資”的設計企業和封測企業的進入門檻和運營成本,從而提升了 整個 IC 產業的運作效率。

半導體行業最新研究報告:迎5G之機,鑄中國之芯(86頁)

根據 IC Insights 數據,2018 年排名前 10 的半導體企業中 IDM 佔據 6 家(包括三星), Fabless 佔據 3 家,Foundry佔據 1 家,其中臺積電作為全球最大的 IC 代工企業在 2018 年實現營收 334.6 億美金,位列全球半導體企業第四位,超越了 TI、意法半導體、NXP 等眾多 IDM 大廠。與此同時,專注做 IC 設計的博通、高通、英偉達也分列全球半導體企 業第 6、第 7、第 10 位。

根據 IC Insights、Gartner 數據,2018 年全球集成電路設計產值為 1139 億美金,集成電 路封測產值為 560 億美金,則由全球集成電路總產值數據計算可得,IC 製造環節的產值 約為 2233.8 億美金,從產值佔比而言,全球集成電路設計、製造、封測環節的產值佔比 分別為28.96%、56.80%、14.24%。

IoT時代半導體下游應用日益多元,行業產值與 GDP 關聯度走高

集成電路的發展是過去 60 年來全球計算技術發展的源動力,在摩爾定律的推動之下,從 大型的計算機到小型計算機,到臺式機和筆記本電腦,再到如今的平板電腦、智能手機以 及正在快速興起的物聯網、可穿戴、汽車電子等智能終端,產品性能快速提高、用戶體驗 日益便捷、產品價格日益便宜,半導體已成為各類通信、電子類硬件產品當中不可或缺的 大腦,即計算中心。

根據 IC Insights 數據,2018 年集成電路的主要下游應用可分為電腦(36.6%)、通信(36.4%)、消費電子(11.0%)、汽車(8.0%)、工控(8.0%)、軍用(6.5%),IC Insights 預計 2023 年通信市場將超越電腦成為集成電路最大的下游市場,佔比有望達到 35.7%, 汽車市場佔比也有望較 18 年提升 1.8pct。

在智能手機、NB、平板電腦等消費電子產品增速放緩的當下時點,汽車電子、工業及醫 療、通信正成為集成電路的核心增長動能。根據 IC Insights 數據,預計 2016-2021 年間 汽車半導體的 CAGR 有望達到 5.4%,位於各類應用市場增速第一位。應用於工業及醫療 場景、通信場景的半導體市場的CAGR有望分別達到 4.6%、4.2%。

由於集成電路的下游應用市場在各類終端智能化、聯網化的過程中不斷拓展,故集成電路 產業與經濟總量增速的關聯度日益緊密,增長的穩健性加強、週期性波動趨弱。根據世界 銀行及 IC Insights 數據計算得到,2012-2018 年間全球半導體產值佔全球 GDP 的比重由 0.39%持續攀升至 0.55%,根據 SEMI 測算,在 2000-2009 年間、2010-2017 年間全球 GDP 增速與集成電路產業增速的相關係數由 0.63 提升至 0.88,預計 2018-2023 年間將 達到 0.95。

政策決心與研發投入是產業發展之源,新產品、新分工是後來居上之機:略

……

中國地區半導體市場空間廣闊,自給化率依然較低

我國集成電路產業起步晚、市場大、自給化率低

我國的半導體產業起步較晚,在距離美國貝爾實驗室發明半導體點接觸式晶體管約10年 的時間,我國才通過還原氧化鍺製成了單晶硅並相繼研製出鍺點接觸式二極管和三極管。 但是作為擁有著近 14億人口的世界工廠,我國的半導體市場規模一直居於全球首位,根 據 WSTS數據,18Q4 中國市場的集成電路銷售額為 326 億美金,佔據全球市場的 33.68%, 遠高於美國(18.39%)、歐洲(10.43%)、日本(8.88%)位居全球第一。

2010 年以來國內集成電路銷售額持續高增長,製造環節佔比低但增速領先。根據中國半 導體行業協會數據,2010-2018 年間,國內集成電路總銷售額由 1933.7 億元增至 6532 億元,年均 CAGR 達到 20.8%,2018 年國內集成電路設計、製造、封測三個環節的銷售 額為 2519 億元、1818.2 億元、2193.9 億元,分別同比增長 21.5%、25.56%、16.1%。

與全球產業結構對比,2018 年國內集成電路設計、製造、封測的銷售額佔比分別為:38.57%、27.84%、33.59%,而全球集成電路產值在以上三個環節的分佈分別為:28.96%、63.89%、 7.14%,由此可見,國內集成電路的銷售額更多倚重於勞動密集型的封測環節,而在與封 測緊密相關的製造環節,中國市場的匹配度較差,尚不具備全面參與全球產業鏈分工的比 較優勢。

面對廣闊的下游需求,由於我國自身半導體產業的技術仍落後於世界先進水平,因此仍嚴 重依賴進口,自給化率低。根據我國海關總署數據,自 2015 年以來我國集成電路進口金 額長期超出原油,2018 年我國集成電路進口總額超過 3100 億美金,同比大幅增長 19.95%, 出口總額超過 837 億美金,同比增長 26%。

半導體行業最新研究報告:迎5G之機,鑄中國之芯(86頁)

半導體行業最新研究報告:迎5G之機,鑄中國之芯(86頁)

半導體行業最新研究報告:迎5G之機,鑄中國之芯(86頁)

半導體行業最新研究報告:迎5G之機,鑄中國之芯(86頁)

根據 IC Insights 數據,2018 年我國集成電路自給率 15.3%,較 5 年前提升了 2.7pct,IC Insights 預計 2023 年我國集成電路的自給率有望達到 20.5%,較 2018 年提升 5.2pct。

根據清華大學微電子研究所數據,截至 2017 年末我國核心芯片自給率依然非常低,比如 計算機系統中的 MPU、通用電子系統中的 FPGA 和 DSP、通信裝備中的 Embedded MPU 和 DSP、存儲設備中的 DRAM 和 Nand Flash、顯示及視頻系統中的 Display Driver 等, 國產芯片佔有率都幾乎為零。

半導體行業最新研究報告:迎5G之機,鑄中國之芯(86頁)

半導體行業最新研究報告:迎5G之機,鑄中國之芯(86頁)

從 PCT專利數量來看,我國集成電路相關技術進步迅速

PCT 是《專利合作條約》(Patent Cooperation Treaty)的縮寫,是有關專利的國際條約。PCT專利的申請數量代表著一個國家的研發創新能力,從半導體方向的專利情況來看,根 據 WIPO數據,2000 年我國 PCT 申請量僅為 8 件,遠遠落後同期荷蘭(91 件)、日本(343 件)、德國(342 件)、美國(724 件)的水平。但是到 2018 年,我國的 PCT 申請了已經 增至 1426 件,儘管仍落後於日本(2240 件)、美國(1917 件)的水平,但是已經遠超了 德國(470 件)、荷蘭(83 件)、韓國(512 件)的水平,由此可見,基於多年的政策扶持、 資源投入和產業發展,我國集成電路產業在研發創新能力上已經取得了長效進步。

半導體行業最新研究報告:迎5G之機,鑄中國之芯(86頁)

半導體行業最新研究報告:迎5G之機,鑄中國之芯(86頁)

半導體是我國當前發展階段下,實現貿易立國向科技立國轉變的重要抓手:略

在政策扶持之外,國家成立大基金以投資方式助力產業發展:略

半導體原材料:推動半導體產業進步的關鍵因素

行業格局:細分種類眾多,單品類集中度高

半導體材料是推動半導體產業進步的關鍵因素。半導體產業是現代信息技術的基礎,而半 導體材料作為半導體產業的直接上游,未來具備一定的國產替代空間。近年來,國內半導 體晶圓廠的建設進程加快,晶圓廠建成之後,日常運行對半導體原材料的需求大幅增加。 半導體材料作為半導體產業鏈上游,從目前國內產業發展現狀來看,其差距遠大於芯片設 計、製造、封測等環節。產業發展進程甚至落後於半導體裝備。

半導體材料是國內半導體產業鏈較薄弱的環節之一。根據半導體行業協會的統計,目前在 國內半導體制造環節國產材料的使用率不足 15%,先進工藝製程和先進封裝領域,半導體 材料的國產化率更低,本土材料的國產替代形勢依然嚴峻,且部分產品面臨嚴重的專利技 術封鎖。未來國內半導體產業的進口替代,沒有半導體材料的自主創新,半導體產業的發 展也是空中樓閣。沒有實現材料與設備在內的產業配套環節的國產替代,我國半導體產業 的發展將受制於人。

半導體產業鏈的最上游是硅片製造廠,硅片是生產半導體所用的載體,是半導體最重要的 上游原材料。目前市場上常見規格有 6 英寸(150mm)、8 英寸(200mm)、12 英寸(300mm) 三種。根據 SEMI 統計,2017 年全球半導體原材料市場規模約為 271.25 億美元,其中硅 片市場規模最大,達到 87.13 億美元,佔比為 32.12%。除硅片外,電子氣體、光掩模、 光刻膠及其輔助材料、CMP 拋光材料等也是半導體制造的重要原材料。

2019 年 4 月 2 日,SEMI 公佈全球半導體材料市場在 2018 年增長 10.6%,推動半導體材 料銷售額達到 519 億美元,超過 2011 年 471 億美元的歷史高位。2018 年晶圓製造材料 和封裝材料的銷售額分別為 322 億美元和 197 億美元,同比增長率分別為 15.9%和 3.0%。

中國臺灣憑藉其龐大的代工廠和先進的封裝基地,以 114 億美元連續第九年成為半導體材料的 最大消費地區。韓國排名第二,中國大陸排名第三。韓國,歐洲,中國臺灣和中國大陸的 材料市場銷售額增長較為強勁,而北美,世界其他地區(ROW)和日本市場則實現了個 位數的增長。(ROW 地區被定義為新加坡,馬來西亞,菲律賓,東南亞其他地區和較小的 全球市場。)

半導體材料市場同樣處於寡頭壟斷局面,國內產業規模非常小。半導體材料市場更細分, 單一產品的市場空間很小,所以,少有純粹的半導體材料公司。半導體材料往往只是某些 大型材料廠商的一小塊業務,例如在陶氏化學公司(The DOW Chemical Company),杜 邦,三菱化學,住友化學等,半導體材料業務只是其電子材料事業部下面的一個分支。盡 管如此,由於半導體工藝對材料的嚴格要求,就單一半導體化學品而言,僅有少數幾家供 應商可以提供產品。以半導體硅片市場為例,2018 年前五大硅片供應商分別佔據全球市 場份額的 27%、26%、17%、13%和 9%,合計佔據 92%的市場份額。在半導體光刻膠市 場,也是類似的情況。

全球半導體硅片市場集中度較高,產品主要集中在日本、韓國、德國和中國臺灣等發達國 家和地區生產,國內廠商的生產規模普遍偏小。前五家供應商日本信越化學株式會社、株 式會社 SUMCO、臺灣環球晶圓股份有限公司、德國 Siltronic AG 和韓國 SK Siltron Inc., 已佔據半導體硅片市場 90%以上份額。在中國大陸,僅有上海硅產業集團、中環股份、金 瑞泓等少數幾家企業具備8 英寸半導體硅片的生產能力,而 12 英寸半導體硅片主要依靠 進口,自主率非常低。

半導體的生產效率和成本與硅片尺寸直接相關。一般來說,硅片尺寸越大,用於半導體生 產的效率越高,單位耗用原材料越少。隨著半導體生產技術的不斷提高,硅片整體向大尺 寸趨勢發展,硅片尺寸從早期的 2 英寸、4 英寸,發展為現在的 6 英寸、8 英寸和 12 英 寸。根據 SEMI 統計,8 英寸和 12 英寸硅片已成為半導體硅片的主流產品,自 2014 年起 一直佔據半導體硅片 90%以上的市場份額。

在半導體材料選擇上,半導體芯片製造廠商會綜合考慮生產效率、工藝難度及生產成本等 多項因素,使用不同尺寸的硅片來匹配各種規格的半導體產品,以達到經營效益最大化。 根據上海硅產業集團招股說明書介紹,功率半導體生產主要採用 6 英寸硅片、8 英寸硅片, 微控制器生產主要採用 8 英寸硅片,邏輯芯片和存儲芯片生產則主要採用 12 英寸硅片。

發展動能:半導體工藝製程的持續改進,對半導體材料的要求越來越高

製程的不斷縮小提升了對半導體硅片的技術要求。遵循摩爾定律,半導體制程的線寬已經 從上世紀 70 年代的 1μm、0.35μm、0.13μm 發展到當前的 90nm、65nm、45nm、28nm、 14nm、7nm。隨著製程線寬的不斷縮小,芯片製造工藝對硅片缺陷密度與缺陷尺寸的容 忍度不斷降低。對應在半導體硅片的製造過程中,需要更加嚴格的控制硅片表面的粗糙度、 硅單晶缺陷、金屬雜質、晶體原生缺陷、表面顆粒尺寸和數量等。

半導體工藝製程的持續改進,對半導體材料的要求越來越高。集成電路產業按照摩爾定律 持續發展,製程節點不斷縮小,根據臺積電 2018 年年報披露,臺積電 7nm 工藝製程已經 量產,5nm 更先進工藝的研發持續推進。半導體制造技術十分精細,製造工藝極其複雜, 對材料的要求非常苛刻。根據產品的不同,集成電路生產需經過幾十步甚至上千步的工藝, 其中任何一步的錯誤都可能是最後導致器件失效的原因,因此對設備和材料的要求非常高, 且對每一步的良率要求極高,通常要達到 3 個 9 以上的良率。

隨著物聯網、人工智能、汽車電子和區塊鏈等新興技術的快速發展及移動終端的普及,8 英寸、12 英寸硅片的市場需求越來越大。根據日本勝高和 SEMI 的統計,2017 年全球 8 英寸和 12 英寸硅片的需求分別為 558 萬片/月和 557 萬片/月,8 英寸和 12 英寸硅片的出 貨量分別為 530 萬片/月和 550 萬片/月,硅片廠商在滿產的狀態下仍不能滿足需求。保守 預計到 2020 年 8 英寸和 12 英寸的終端市場需求量將分別超過 630 萬片/月和 620 萬片/ 月。

長期展望:國內半導體材料產業鏈從無到有、從弱到強

當前國內半導體材料的發展正在快速迎來突破,在過去十年,以02專項、國家重點研發 計劃為代表的產業政策和專項補貼推動了半導體材料從無到有的起步階段,本土半導體材 料企業數量大幅增長,根據各公司年報及招股說明書統計,以江化微的超純試劑、鼎龍股 份的 CMP 研磨墊、江豐電子的靶材、安集微電子的研磨液、上海硅產業集團的大硅片為 代表的國產半導體材料進入主流晶圓製造產線進行上線驗證,部分產品實現了批量供應。

同時,大基金的進入,大力推動了本土材料產業的資源整合和海外人才引入的加速。雖然 目前產業總體正處於起步階段,但我們認為,未來 5-10 年即將成為半導體材料產業發展 壯大的黃金時期。

具體到最上游的硅片來看,根據電子行業協會統計,2016 年中國大陸企業在 4-6 英寸硅 片(含拋光片、外延片等)的產量約為 5200 萬片,基本可以滿足國內 4-6 英寸的晶圓需 求。但是 8 英寸-12英寸的大硅片,國內自供率仍然比較低。國內具有 8 英寸硅片和外延 片生產能力的有浙江金瑞泓、崑山中辰、北京有研新材、南京國盛、CECT46 所以及上海 新傲,合計月產能為 23.3 萬片。2018 年國內對 8 英寸硅片的月需求量預計為 80 萬片。 仍有較大的缺口。目前國內 8 英寸硅片主要適用於分立器件,但先進製程的集成電路用 8 英寸硅片的產業化技術尚不成熟。

目前國內在製作大硅片的超純硅原料、單晶爐、切磨拋設備、檢測設備等領域均依賴於進 口。近年來,我國在 8英寸和 12 英寸集成電路級硅片的研發上取得了重大突破,國家在 政策和資本等各方面給予大力支持,中國本土企業在市場、政策、資金的推動下開始快速 發展,未來有望逐步實現國產替代。

隨著集成電路特徵線寬的不斷縮小,光刻機的景深也越來越小,硅片上極小的高度差都會 使集成電路佈線圖發生變形、錯位,這對硅片表面平整度提出了極高的要求。此外,硅片 表面顆粒度和潔淨度對半導體芯片的良率也有直接影響。拋光工藝可以去除表面加工殘留 的損傷層,實現半導體硅片表面平坦化,並進一步減小硅片表面的粗糙度以滿足芯片製造 工藝對硅片平整度和表面顆粒度的要求。

EDA IP 核:芯片設計的基礎,突破海外行業壟斷迫在眉睫

行業格局:三巨頭壟斷全球市場;國產替換任重道遠

EDA指電子設計自動化軟件(Electronic Design Automation),是芯片設計時的重要工 具。是產業鏈最上游的行業,利用 EDA 工具,電子工程師可以從概念、算法、協議等開 始設計電子系統,大量工作可以通過計算機完成,並可以將電子產品從電路設計、性能分 析到設計出 IC版圖或 PCB 版圖的整個過程在計算機上自動處理完成。一塊小小的集成電 路芯片中有上億個晶體管,如果沒有EDA光靠人手工電路設計、繪圖,集成電路設計工 程師們無從下手。現在離開了EDA,高端芯片設計就不能實現。

EDA技術以計算機為工作平臺,融合了應用電子技術、計算機技術、信息處理及智能化 技術的最新成果,進行電子產品的自動設計。在EDA軟件出現之前,設計人員必須手工 完成集成電路的設計、佈線等工作,版圖設計難度極大。到了1970年代中期,開發人員 嘗試將整個設計過程自動化,而不僅僅滿足於自動完成掩膜草圖。隨著計算機仿真技術的 發展,設計項目可以在構建實際硬件電路之前進行仿真,芯片佈線佈局對人工設計的要求 降低,而且軟件錯誤率不斷降低。

全球 EDA 市場基本上被三家公司壟斷:Cadence、Synopsys 和 Mentor Graphics。其 中規模最小的 Mentor Graphics 已經被西門子收購。三大 EDA 供應商都能提供全套的芯 片設計解決方案,包括模擬、數字前端、後端、DFT、Signoff 等一整套設計工具。據 WSTS 統計,2017 年全球包括 EDA、半導體知識產權(SIP),以及服務等在內的整體 EDA 產業 市場規模約為 85-90 億美元之間。三巨頭達到 57%左右的市場份額。

在 2017 年,IP 核的交易已經超越了 EDA 工具和服務本身,成為 EDA 產業交易規模最大 的一部分。IP 核(IP Core)是具有知識產權(Intellectual Property)的集成電路芯核的 簡稱,其作用是把一組擁有知識產權的電路設計集合在一體,構成芯片的基本單位,IC 設 計工程師將多個不同功能的 IP 像搭積木一樣組合起來,用於構建更大的芯片系統。EDA 供應商把電路設計所需的功能擴展件以軟件 IP 核構件形式出售(可以理解為功能擴展包)。

IP 核有三種不同的存在形式:HDL 語言形式,網表形式、版圖形式。分別對應我們常 說的三類 IP 內核:軟核、固核和硬核。這種分類主要依據產品交付的方式,而這三種 IP 內核實現方法也各具特色。

軟核是用 VHDL 等硬件描述語言描述的功能塊,並不涉及用什麼具體電路元件實現這些功 能。軟 IP 通常是以硬件描述語言 HDL 源文件的形式出現,應用開發過程與普通的 HDL 設計也十分相似,只是所需的開發硬軟件環境比較昂貴。軟 IP 的設計週期短,設計投入 少。由於不涉及物理實現,為後續設計留有很大的發揮空間,增大了IP的靈活性和適應 性。其主要缺點是在一定程度上使後續工序無法適應整體設計,從而需要一定程度的軟IP修正,在性能上也不可能獲得全面的優化。

硬核提供設計階段最終階段產品——掩膜。以經過完全的佈局佈線的網表形式提供,這種 硬核既具有可預見性,同時還可以針對特定工藝或購買商進行功耗和尺寸上的優化。儘管 硬核由於缺乏靈活性而可移植性差,但由於無須提供寄存器轉移級(RTL)文件,因而更易 於實現 IP 保護。

大多數應用於 FPGA 的 IP 內核均為軟核,軟核有助於用戶調節參數並增強可複用性。軟 核通常以加密形式提供,這樣實際的 RTL 對用戶是不可見的,但佈局和佈線靈活。在這 些加密的軟核中,如果對內核進行了參數化,那麼用戶就可通過頭文件或圖形用戶接口(GUI)方便地對參數進行操作。對於那些對時序要求嚴格的內核(如 PCI 接口內核),可預布 線特定信號或分配特定的佈線資源,以滿足時序要求。這些內核可歸類為固核,由於內核 是預先設計的代碼模塊,因此這有可能影響包含該內核的整體設計。由於內核的建立 (setup)、保持時間和握手信號都可能是固定的,因此其它電路的設計時都必須考慮與該內 核進行正確地接口。

根據 WSTS 統計,目前,在全球範圍內有超過 400 家 IP 核提供商,提供超過 6000 個不 同種類的 IP 核。從 IP 核企業的屬性看,又分為不同的幾類:一類是純 IP 核提供商,如 ARM、MIPS 等;一類是 Foundry,Foundry 是 IP 核大戶,如臺灣 TSMC 有超過 2700 個 IP 核,很多 Foundry 的 IP 核是免費向客戶提供的;一類是EDA公司,Synopsys、Mentor、 Cadence 都有各自的 IP 核業務;一類是 Design Service 公司,如我國的 Verisilicon, Verisilicon 以設計服務為主業務,同時有自己的 ZSP IP 核平臺;一類是 IDM 或 Fabless 企業,自身對外有限授權部分 IP 核,如 IBM、高通、Freescale 等。由於 IP 核提供商的 多樣性以及 IP 核商業授權模式的多樣性,導致要統計全球 IP 核產業的產值是一件極為困 難的事情。

截止到目前為止,ARM 是全球範圍內最成功的 IP 核提供商。根據公司年報,ARM 已經 已向超過 200 家半導體公司提供技術授權,每年全球範圍內設計及銷售的內含 ARM 處理 器的芯片達 40 多億顆;從 2001 年進入中國市場,國內已有 400 多所大學開設了 ARM 相 關的課程和實驗室、出版了 120 多本中文的 ARM 相關教科書,發展了國內 60 多家 ARM Connected Community 成員。ARM 已將自己的發展和多家合作伙伴的發展密切聯繫在了 一起,他們共同組成了一個ARM生態圈。

發展動能:更高運算速度及更低功耗的需求驅動EDA 增長

沒有 EDA 工具,水平再高的芯片設計師也沒有用武之地。Synopsys 和 Cadence 已經按 照美國商務部的要求,暫停了對華為的授權和更新。據中國半導體行業協會統計,目前國 內集成電路設計公司 90%以上採用國外 EDA 工具。

EDA增長的根本驅動因素是設計複雜性,及對更高運算速度及更低功耗的需求。主要包 括三個方面:一是集成電路工藝製程向更先進的7nm、5nm、3nm、1nm 演進;二是在更 成熟的節點中優化設計,降低成本,同時提高速度和實現更低功耗;三是大量的軟件內容 正在成為芯片設計的一部分。因此,EDA 軟件需要持續更新確保芯片和軟件能夠很好地協 同工作。

隨著工藝的提升和設計方法的改善,芯片性能在近幾年得到大幅度提高。5G 的來臨,電 路工作頻率變得越來越高,容易引起電遷移效應;SoC 芯片也使設計越來越複雜;IOT 的 興起,低功耗變得日益重要;FinFET 工藝的出現,器件機理髮生了變化;線寬越來越小, 在 10 納米級製程下,良品率問題更加凸顯。這些都對 EDA 工具提出了不小的挑戰。由於 這些挑戰的存在,也給中國本土 RDA 廠商帶來了無限機遇。

發展機遇:上下游產業鏈合作,相互促進

國內本土 EDA 公司要突破海外 EDA 公司的技術和市場壟斷,需要更加註重國內上下游產 業鏈的合作。中國本土 EDA 公司加強與本土頂級晶圓代工公司和芯片設計公司緊密結合, 相互促進共同成長,對於先進的28/24/10納米工藝和技術重點攻關,實現點上的突破。 我們認為本土EDA公司一定不能拘泥於個別的點工具,更加註重 EDA 軟件的平臺化。本 土 EDA 產品要形成自己的完整解決方案,為國內外的設計公司和代工企業提供有力的支 持。

隨著 SoC 的發展,IP 的重要性日益凸顯,提供與 IP 相關的服務與驗證工具也是國內 EDA 公司應當考慮的發展方向。比如華大九天在 2012 年推出 IP 和設計服務業務,這使得華大 九天通過 IP 和客戶建立更緊密的合作,合作深度也日益深入,取得了更佳的成效。

根據公司官網介紹,華大九天成立於 2009 年,其業務起步於原華大電子 “熊貓”EDA 設計平臺。作為 CEC 中國電子旗下的二級子企業,目前已經成為我國規模最大、技術最 強的 EDA 龍頭企業,也是大規模集成電路 CAD 國家工程研究中心依託單位,承擔著國產 EDA 軟件研發與推廣的重任。

華大九天可以提供全流程數模混合信號芯片設計系統、SoC 後端設計分析及優化解決方案、 平板(FPD)全流程設計系統、 IP 以及面向晶圓製造企業的相關服務,其業務包括 EDA 電 子設計自動化、Foundry 工程服務、IP 及設計服務,客戶覆蓋國內眾多集成電路企業。

華大九天承接了熊貓 EDA 系統——九天系列工具軟件業務 20 多年的技術、產品、團隊和 市場積累,擁有國內規模最大、技術領先的 EDA 研發團隊和國內外眾多客戶群體,是中 國本土最大的一家 EDA 軟件供應商,致力於提供專業的 EDA 解決方案、高端 SoC 解決 方案和一站式設計生產服務。

1986 年開始研發熊貓 ICCAD 系統;1993 年發佈熊貓系統;2001 年發佈九天 Zeni 系統; 2006 年,發佈 SoC 時鐘分析與優化工具 Clock Explorer;2008 年發佈時序優化工具 TIming Explorer;2011 年發佈海量版圖高效處理平臺 Skipper、高效版圖驗證解決方案 Argus、 寄生參數提取分析工具 RCExplorer;2012 年推出數模混合信號電路物理設計系統(AMS-PD);2014 年發佈模擬電路 EDA 全系統工具;2015 年發佈設計庫一致性檢查工 具 Qualib;2016 年發佈高精度大容量並行 spice 仿真工具 ALPS;2017 年,發佈 Silicon-aware 及大數據分析工具 XTIme 和完整的時序 ECO 優化解決方案 XTop。

半導體設備:半導體芯片製造的基石

行業格局:海外產業巨頭壟斷,國產市佔率亟待提升

集成電路產業能夠延續摩爾定律的不斷髮展,離不開三方面的進步:1)半導體器件結構 和原理的進步;2)半導體設備製造能力的提升和半導體制造工藝的改進;3)材料性能的 改善和新材料的應用。

半導體設備行業是半導體芯片製造的基石,擎起了整個現代電子信息產業,是半導體行業 的基礎和核心。半導體設備行業屬於半導體產業鏈的上游核心環節之一,根據半導體行業 內“一代設備,一代工藝,一代產品”的經驗,半導體產品製造要超前電子系統開發新一 代工藝,而半導體設備要超前半導體產品製造開發新一代產品。

隨著半導體行業的迅速發展,半導體產品的加工面積成倍縮小,複雜程度與日俱增,生產 半導體產品所需的製造設備需要綜合運用光學、物理、化學等科學技術,具有技術壁壘高、 製造難度大及研發投入高等特點。根據 SEMI 統計,半導體設備價值普遍較高,一條製造 先進半導體產品的生產線投資中設備價值約佔總投資規模的75%以上。

2013 年以來,隨著全球半導體行業整體景氣度的提升,半導體設備市場也呈增長趨勢。 根據 SEMI統計,全球半導體設備銷售額從 2013 年的約 318 億美元增長至 2018 年的預 估 645 億美元,年均複合增長率約為 14%。

半導體行業最新研究報告:迎5G之機,鑄中國之芯(86頁)

集成電路設備包括晶圓製造設備、封裝設備和測試設備等。2017 年全球晶圓製造設備的 市場規模佔比超過集成電路設備整體市場規模的 80%。晶圓製造設備從類別上講可以分為 刻蝕、光刻、薄膜沉積、檢測、塗膠顯影等十多類,其合計投資總額通常佔整個晶圓廠投 資總額的 75%以上。

集成電路製造工藝繁多複雜,其中光刻、刻蝕和薄膜沉積是半導體制造三大核心工藝。薄 膜沉積工藝系在晶圓上沉積一層待處理的薄膜,勻膠工藝系把光刻膠塗抹在薄膜上,光刻 和顯影工藝系把光罩上的圖形轉移到光刻膠,刻蝕工藝系把光刻膠上圖形轉移到薄膜,去 除光刻膠後,即完成圖形從光罩到晶圓的轉移。製造芯片的過程需要數十層光罩,集成電 路製造主要是通過薄膜沉積、光刻和刻蝕三大工藝循環,把所有光罩的圖形逐層轉移到晶 圓上。

根據中國電子專用設備工業協會統計,2017 年按全球晶圓製造設備銷售金額佔比類推, 目前刻蝕設備、光刻機和薄膜沉積設備分別佔晶圓製造設備價值量約24%、23%和 18%。 在需求增長較快的刻蝕設備領域,行業集中度較高,Lam Research 佔據刻蝕設備市場份 額半壁江山。隨著集成電路中器件互連層數增多,刻蝕設備的使用量不斷增大,Lam Research 由於其刻蝕設備品類齊全,從 65 納米、45 納米設備市場起逐步超過應用材料 和東京電子,成為行業龍頭。

The Information Network 數據顯示,Lam Research 在刻蝕設備行業的市場佔有率從 2012 年的約 45%提升至 2017 年的約 55%,主要替代了東京電子的市場份額。排名第二的東京 電子的市場份額從 2012 年的 30%降至 2017 年的 20%。應用材料位於第三,2017 年約 佔 19%的市場份額。前三大公司在 2017 年佔據刻蝕設備總市場份額的 94%,行業集中度 高,技術壁壘明顯。

同樣在光刻機領域,行業集中度也非常高。步進掃描投影光刻機的主要生產廠商包括ASML(荷蘭)、尼康(日本)、佳能(日本)和 SMEE(中國)。ASML 於 2001 年推出了 TWINSCAN 系列步進掃描光刻機,採用雙工件臺系統架構,可以有效提高設備產出率,已成為應用最 為廣泛的高端光刻機。ASML在光刻機領域一騎絕塵,一家獨佔全球 70%以上的市場份額。 根據公司官網介紹,國內廠商上海微電子(SMEE)研製的 90nm 高端步進掃描投影光刻機 已完成整機集成測試,並在客戶生產線上進行了工藝試驗。

半導體設備技術壁壘比較高,市場集中度高,CR15 超 80%。全球半導體設備生產企業主 要集中於歐美、日本、韓國和我國臺灣地區等,以美國應用材料、荷蘭阿斯麥、美國泛林 集團、日本東京電子、美國科天等為代表的國際知名企業起步較早,經過多年發展,憑藉 資金、技術、客戶資源、品牌等方面的優勢,佔據了全球集成電路裝備市場的主要份額。

從供給端分析,根據中國電子專用設備工業協會的統計數據,2018 年國產半導體設備銷 售額預計為 109 億元,自給率約為 13%。中國電子專用設備工業協會統計的數據包括集 成電路、LED、面板、光伏等設備,技術含量最高的集成電路前道設備市場自給率更低。

發展動能:工藝製程的進步對半導體設備提出更高要求

在摩爾定律的推動下,元器件集成度的大幅提高要求集成電路線寬不斷縮小,直接導致集 成電路製造工序愈為複雜。根據 SEMI 統計,20 納米工藝所需工序約為 1,000 道,而 10 納米工藝和 7 納米工藝所需工序已超過 1,400 道。尤其當線寬向 10、7、5 納米甚至更小 的方向升級,當前市場普遍使用的光刻機受波長的限制精度無法滿足要求,需要採用多重 模板工藝,重複多次薄膜沉積和刻蝕工序以實現更小的線寬,使得薄膜沉積和刻蝕次數顯 著增加。根據 SEMI 統計,20 納米工藝需要的刻蝕步驟約為 50 次,而 10 納米工藝和 7 納米工藝所需刻蝕步驟則超過 100 次。工序步驟的大幅增加意味著需要更多以刻蝕設備、 薄膜沉積設備為代表的半導體設備參與集成電路生產環節。

半導體行業最新研究報告:迎5G之機,鑄中國之芯(86頁)

除集成電路線寬不斷縮小以外,半導體器件的結構也趨於複雜,例如存儲器領域的NAND閃存已進入 3D 時代。3D NAND 製造工藝中,增加集成度的主要方法不再是縮小單層上 線寬而是增大堆疊的層數,疊堆層數也從32層、64 層量產向 128 層發展,每層均需要經 過刻蝕和薄膜沉積的工藝步驟,催生出更多刻蝕設備和薄膜沉積設備的需求。此外,3D 結構的半導體器件往往需要很小的通孔連接幾十至一百餘層硅,因此對刻蝕設備的技術要 求是更高的深寬比,這為刻蝕設備提出了新的應用方向,帶來了新的附加值。

集成電路尺寸及線寬的縮小、產品結構的立體化及生產工藝的複雜化等因素都對半導體設 備行業提出了更高的要求和更多的需求,併為以刻蝕設備、薄膜沉積設備為代表的核心裝 備的發展提供了廣闊的市場空間。

作為全球最大的半導體消費市場,我國對半導體器件產品的需求持續旺盛。根據中國半導 體行業協會統計,中國半導體市場規模 2013 年至2018年年均複合增長率為 14.34%。市 場需求帶動全球產能中心逐步向中國大陸轉移,持續的產能轉移帶動了大陸半導體整體產 業規模和技術水平的提高。SEMI所發佈的近兩年全球晶圓廠預測報告顯示, 2017 年到 2020 年的四年間,全球預計新建 62 條晶圓加工線,其中中國大陸將新建 26 座晶圓廠, 成為全球新建晶圓廠最積極的地區,整體投資金額預計佔全球新建晶圓廠的42%,為全球 之最。

中國大陸晶圓廠建廠潮為半導體設備行業提供了廣闊的市場空間。從需求端分析,根據 SEMI 統計數據,2018 年半導體設備在中國大陸的銷售額為 128 億美元,同比增長 56%, 約佔全球半導體設備市場的 21%,已成為僅次於韓國的全球第二大半導體設備需求市場。 2018 年第三季度中國大陸半導體設備銷售額同比增長 106%,首次超越韓國,預計 2019 年將成為全球最大半導體設備市場。同時,中國大陸需求和投資的旺盛也促進了我國半導 體產業專業人才的培養及配套行業的發展,半導體產業環境的良性發展為我國設備產業的 擴張和升級提供了機遇。

發展機遇:國內Foundry 工藝的成熟穩定帶動國內設備的發展進步

中芯國際、華虹半導體等 foundry 工藝的成熟穩定,能夠帶動國產半導體設備供應鏈的 發展進步。從半導體產業鏈的發展成熟過程,我們可以看到:在國產比較成熟的領域,如 LED、光伏、LCD 等領域,國產半導體設備、原材料的佔比就比較高。反之,在國產技術 不成熟的領域,國產半導體設備和原材料的佔比就比較低。

國家集成電路產業基金、02 專項等國家扶持資金的支持。上海微電子裝備、中微半導體、 北方華創、長川科技等一批本土設備製造商近年來發展迅速,逐步實現進口替代。本土半 導體企業如上海微電子裝備、盛美半導體、中微半導體、北方華創、長川科技等專用設備 製造商通過多年的研發和積累,已掌握了相關核心技術,擁有自主知識產權,具備一定規 模和品牌知名度,在某些細分領域已經具有國際競爭力,佔據了一定市場份額。比如中微 半導體的介質刻蝕機、MOCVD,盛美半導體的清洗機,北方華創的 PVD,已經具備國際 競爭力,遠銷海內外。

從長遠來看,伴隨新應用推動市場需求的持續旺盛,半導體行業的景氣度有望保持螺旋式 上升。作為半導體生產環節投資規模佔比最大的部分,半導體設備將直接受益於未來持續 擴張的半導體產業。

……

設計:共享物聯網時代新機遇,自主突破與創新至關重要

行業格局:IDM 主導大局,fabless 滲透率不斷提升,美國壟斷 53%份額

IDM憑藉存儲器壟斷地位主導半導體市場。全球半導體市場包括集成電路(IC)、分立器 件、光電子、傳感器四大部分。2018 年在全球前 10 大半導體公司排名中,有 8 家為 IDM, 這主要是因為存儲在全球半導體產業中仍然佔據重要地位(根據 WSTS 數據,存儲器佔 全球半導體產品市場 34%)。存儲器產品設計較為簡單、但其投資規模大、對產能及良率 要求極高,規模效益是企業能否盈利的關鍵考量,加之專利的限制,目前存儲器產業尚未 有采用“fabless + foundry”模式成功發展的案例,全球領先的存儲供應商均為IDM公司。

根據 WSTS 數據,2017 年全球存儲器市場規模為 1240 億元,包括 59% DRAM 產品和 38% NAND 產品;2018 年全球存儲器市場規模同比增長 27%至 1580 億美元。根據 DRAMexhange 最新數據,2018 年全球 DRAM 市場由三星、SK 海力士和美光壟斷全球 95%的市場份額,全球 NAND 市場被三星、SK 海力士、東芝、西部數據、美光和英特爾 六家龍頭瓜分 99%的市場份額。

根據 DRAMexchange 數據,憑藉在 DRAM(市佔率 44%)和 NAND(市佔率 35%)領 域的壟斷優勢,以及 2017-2018 年存儲市場供不應求導致的漲價潮,三星穩坐全球半導體 公司龍頭寶座,2018 年實現營收 759 億美元,同比增長 27%;SK 海力士與美光也分別 實現了 38%和 34%的收入增長。

Fabless在半導體市場滲透率不斷提升。除去依託於存儲器佔據主導地位的IDM公司, fabless 在全球半導體市場中的滲透率也在不斷提升,從 2000 年的 10%增加到 2017 年的 38%。儘管 2017 年受存儲器市場需求大幅增加,IDM 銷售額增速(27%)顯著快於 fabless 銷售額增速(11%),但從 2000 年-2017 年複合增速來看,fabless(11%)成長顯著快於 IDM(3%),這主要是因為風險資本(VC)支持以及移動時代的到來,為 fabless 提供了 絕佳發展契機。相比於傳統 IDM,Fabless 的生產週期更為靈活、技術迭代週期較短,能 夠更快的推出匹配市場需求、甚至是推動市場進步的新產品。根據高通公司 2007 年資料, 其在 130nm 製程節點時相較 IDM 落後 24 個月,90nm 製程落後 12-15 個月,而到 45nm 製程時差距已縮短至 3 個月。

美國壟斷全球 fabless 市場 53%的份額。2017 年全球 fabless IC 銷售額有 53%由總部位 於美國的企業收入囊中,以博通和聯發科為首的新加坡和臺灣地區各佔16%的銷售額,並 列第 2。中國內陸排名第四,但值得注意的是,儘管中國內陸公司佔全球 fabless IC 銷售 市場份額只有 11%,相對於 2010 年的 5%已有顯著提升。

海思和紫光躋身全球前十大 fabless 企業榜單。2009 年,全球排名前 50 的 fabless 公司 中只有一家中國企業海思上榜,而到了 2017 年,全球前五十大 fabless 公司中已有 10 家 中國公司,印證了中國半導體設計領域近年來的快速發展。全球前十大 fabless 公司 2017 年合計佔全球 IC 銷售額的 73%,寡頭壟斷效應較為明顯,其中有 6 家為美國公司,合計 佔 fabless 總銷售額的 43%,與全球 IC 銷售額地域分佈情況基本一致。中國公司海思排 名第七,2017 年 IC 銷售額達到 47.2 億美元,同比增長 21%,增速僅次於英偉達的 44% 和 AMD 的 23%。紫光集團(包括展訊和 RDA 收入)2017 年創收 20.5 億美元,位列第 十,收入同比增長 9%。

中國市場:發展迅速,但產業鏈覆蓋度低

中國 IC 產業發展迅速。根據中國半導體產業協會披露數據,2018 年中國集成電路設計行 業產值達 2519 億元,對應 2004-2018 年複合增速為 28%,高於集成電路行業平均增速(19%),也高於全球 IC 產業年複合增速(5%)。截至 2018 年末,中國 IC 設計企業數量 達 1698 家,同比增加 23%。產值攀升及企業數量的大幅增加均表明中國 IC 設計產業處 於快速發展階段。

海思獨佔鰲頭,市場集中度低。然而,從產業結構來看,儘管海思和紫光集團成功躋身全 球十大 fabless 公司行列,但本土 IC 設計公司規模普遍較小:2018 年中國前十大 IC 設計 公司合佔 38%市場份額,其中華為海思創收 503 億元,同比增長 30%,獨佔 20%市場份 額,剩餘其他 IC 設計公司市佔率均不超過 5%。但根據 2018 年 elecfans 數據,由於海思 約 90%的產品為內部銷售,中興微也部分產品用於自供,可見中國 IC 設計行業(包括 IDM 和 fabless 公司)市場集中度較弱,我們認為這主要是由於中國IC設計公司起步較晚,當 前仍在技術追趕階段,產品集中在中低端,尚未在單一領域取得壟斷優勢。

中國芯片設計自給能力不足。根據芯謀研究估算數據,2018 年中國集成電路進口品類中, 進口需求最大的為存儲器(36%),模擬/功率(15%),手機主芯片(12%)。從自給率數 據來看,中國企業僅在分立器件、移動處理和基帶、邏輯芯片三個領域分別實現了約17%、 12%、6%的自給,其他領域仍然重度依賴進口。

中國在存儲市場目前仍為空白。以存儲為例,存儲作為半導體產業的核心產品,自主能力 對國家的半導體行業發展至關重要。如前文所提,全球DRAM和 NAND 市場已形成寡頭 壟斷格局,中國在該領域的自給率幾乎為零,只有兆易創新在全球Nor Flash 市場佔據 8% 份額。根據 ITheat 數據,目前中國已經形成了以長江存儲、合肥長鑫為首的主流存儲產業 佈局,但產品均處於投產初期,尚未規模量產,短期難以有效填補中國在存儲器領域的空 白。

中國功率半導體市場不斷擴大,自給能力同樣不足。除集成電路外,受益於新能源車、工 業控制等終端市場需求增加,近年來中國功率半導體市場規模也在不斷擴大。根據集幫諮 詢數據,2018年,中國功率半導體市場規模同比增長 13%至 2591 億元,其中功率分立 器件市場規模同比增長 15%至 1874 億元,電源管理 IC 市場規模同比增長 8%至 717 億 元。儘管當前經濟形勢低迷,全球貿易關係存在不確定性,但汽車電子化升級及工業自動 化需求仍有望驅動功率半導體市場的發展。集幫諮詢預計 2019 年中國功率半導體市場將 同比增長 12%至 2907 億元。

受市場需求增加驅動,中國本土功率半導體廠商 2018 年營業收入同比顯著提升,但從市 場份額佔比來看,以通用 MOSFET,IGBT 為例,目前中國功率半導體市場也主要由外資 企業佔據,根據 IHS 數據,2017 年本土企業僅有士蘭微和達爾科技在通用 MOSFET 市場 分別佔據 3%和 2%的份額。

半導體行業最新研究報告:迎5G之機,鑄中國之芯(86頁)

半導體行業最新研究報告:迎5G之機,鑄中國之芯(86頁)

半導體行業最新研究報告:迎5G之機,鑄中國之芯(86頁)

發展機遇:物聯網及汽車電子為發展新動力

物聯網市場保持高增長。隨著互聯網企業、通信運營商等全面佈局物聯網,全球物聯網生 態逐步形成。2017 年末,全球物聯網市場規模達到 0.9 萬億美元,行業應用滲透率達到 29%(2013 年:12%),其中在汽車行業和消費電子領域的滲透率分別達到 34%和 40%。 根據中國信通院發佈的《物聯網白皮書(2018)》,受智能家居、移動互聯網、工業物聯網 等產業驅動,2017 年中國物聯網市場規模達到 1.2 萬億元,對應 2010-2017 年複合增速 29%,約佔全球物聯網市場規模的 20%。

智能家居等終端交互應用的快速興起促進了全球消費性物聯網產業的發展,但企業數字化 轉型及變革轉型的驅動有望推動產業物聯網實現更為快速的發展。根據中國信息經濟社發 布的《2017-2018 中國物聯網發展年度報告》,2022 年全球物聯網市場規模有望達到 2.3 萬億美元,對應 2017-2022 年複合增速 20%。

車聯網市場發展潛力不容小覷。除產業物聯網及消費物聯網外,車聯網作為物聯網應用領 域主線之外的細分市場,其發展潛力不容小覷。新能源汽車市場的快速發展以及傳統燃油 車的自動化、智能化升級都將成為全球汽車電子產業發展的重要驅動力。

根據蓋世汽車資訊統計,2018 年純電動汽車中汽車電子成本已佔到總成本的 65%,遠高 於傳統緊湊/中高端車型的 15%/28%。受智能駕駛升級和新能源車普及推動,全球汽車電 子市場規模有望於 2022 年達到 2.14 萬億元,對應 2017-2022 年複合增速 8%;中國汽車 電子市場規模將達到 0.98 萬億元,對應 2017-2022 年複合增速 13%。

半導體行業最新研究報告:迎5G之機,鑄中國之芯(86頁)

半導體行業最新研究報告:迎5G之機,鑄中國之芯(86頁)

Fabless融資再迎春天,物聯網及汽車電子為主要募投方向

前文所提,2000 年至 2001 年間 VC 對初創 fabless 的支持給了 fabless 第一個春天,但 隨著行業格局逐漸建立,資本市場對 fabless 的投資熱情減退,主要是因為:1)行業集中 度不斷提升,新應用需求迭代較慢、因而留給初創公司的市場空間有限;2)具有先發優 勢的企業專利及 IP 限制了初創公司的發展;3)龐大的流片成本給 fabless 帶來了較大的 生存壓力。

而隨著 IoT、AI、智能駕駛等應用的興起,全球半導體投資熱情再次被點燃,進口替代的 迫切需求使得中國半導體市場成為投資的主戰場。

2017/2018年,全球 fabless 初創公司的融資規模同比增長 153%/59%至 9.0/14.3 億美元。 中國 7 家初創 fabless 公司在 2018 年合計獲得 5.6 億美元融資,按地域分佈中國地區融 資額佔當年總融資規模的 39%。而從終端應用來看,46%的資金用於投資終端設備/物聯 網領域項目,32%用於雲端/數據中心建設,13%用於汽車/ADAS 建設。我們認為,初創 公司的募投方向再次說明物聯網及汽車電子是當下半導體市場發展機遇所在。

物聯網及汽車電子為全球半導體市場發展新動力。隨著智能手機及電腦進入存量市場,物 聯網及汽車電子市場的快速發展將成為全球半導體發展的重要驅動力。IC Insights 預計, 2016-2021 年,物聯網及汽車電子將成為全球半導體下游市場增速最快的兩個領域,其市 場份額也僅次於手機和標準電腦。與此同時,與物聯網、汽車電子等應用相關的產品,如 微處理器(MCU)、分立器件、通信芯片等,都成為各大半導體設計廠商(包括 IDM 和 fabless) 重點佈局的領域。

半導體行業最新研究報告:迎5G之機,鑄中國之芯(86頁)

受益於物聯網及汽車電子市場的快速發展,全球 MCU 市場規模不斷擴大。MCU 又稱單 片機,是把 CPU 的頻率和規格適當縮減,並將周邊接口整合在單一芯片上製成芯片級的 計算機。早期的MCU規格相對簡單,多用於無線電話,計算機等相關應用中,而隨著MCU產業技術逐步成熟,目前在汽車電子、消費電子、工業控制領域均有著廣泛應用。

據 IC Insights 估算,2018 年全球 MCU 市場規模為 186 億美元,同比增長 11%。前八大 MCU 外資廠商合計壟斷約 90%市場份額,馬太效應較為明顯,產品主要應用於汽車電子(25%)和工控/醫療(23%)領域。相比之下,中國 MCU 應用主要集中在消費電子(26%) 和計算機網絡(19%)領域,在汽車電子(16%)和工業控制(11%)領域的滲透率顯著 低於全球平均水平,表明中國 MCU 滲透率在汽車電子和工業控制領域仍然有較大提升空 間。

中國 MCU 市場仍由外資企業主導。根據 IHS 數據,2017 年中國 MCU 銷售總額同比增長 9%至 45.6 億美元,佔全球 MCU 市場的 27%,其中 8 位和 32 位佔比分別為 40%和 46%。 值得注意的是,32 位 MCU 的增速在 2013-2017 年間顯著快於其他位數的 MCU,說明來 自於智能家居、物聯網等領域的需求更為強勁。然而,根據 EEFOCUS 數據,2018 年中 國 MCU 廠商在 8 位 MCU 市場佔據約 50%的份額,但 16/32 位 MCU 市場仍由外資廠商 占主導地位,本土廠商市佔率只有約 20%。

隨著物聯網產業不斷成熟,終端應用對於 MCU 的需求也會更加多元化,MCU 廠商(IDM 和 fabless)的創新能力無疑將成為其突破市場的核心競爭力,因此,在細分領域針對性 的創新或為國內IC設計企業的突破方向。

國產 IC 設計上市公司快速發展

2018 年,國產上市 IC 設計公司(包括 IDM 和 fabless)總收入規模達到 465.8 億元,對 應 2016-2018 年複合增速達到 41%,其中納思達增長最快,同期年複合增速約 120%, 2018 年創收 219 億元,也是國產上市 IC 設計公司中規模最大的。淨利潤層面,21 個上 市公司合計實現淨利潤 23 億元,對應 2016-18 年複合增速為 6%,其中士蘭微,四維圖 新、納思達、中穎電子的淨利潤年複合增速分別達到 62%、54%、50%、50%。

製造:特色工藝差異化競爭及製程迭代為本土廠商創造機遇

晶圓代工行業格局:先發優勢奠定龍頭基礎,臺積電獨佔霸主地位

2017 年全球晶圓代工市場規模達到 616 億美元,同比增長 8%,其中 88%的產值由純晶 圓代工廠完成。作為全球晶圓代工模式的首創者,2017 年臺積電獨佔 52%的市場份額, 遠超其他四大晶圓代工廠格羅方德(10%),聯電(8%),三星電子(8%)和中芯國際(5%)。 全球前十大晶圓代工廠合計壟斷全球91%的市場份額,其中僅有中芯國際和華虹半導體 2 家中國企業。據 IC Insights 預測,2017-2022E 全球晶圓代工市場年複合平均增速約為 7%, 純晶圓代工廠將仍然扮演行業發展重要角色,佔領全球約 90%的市場份額。

半導體行業最新研究報告:迎5G之機,鑄中國之芯(86頁)

半導體行業最新研究報告:迎5G之機,鑄中國之芯(86頁)

臺積電保有先進製程先發優勢,中芯國際全力追趕。臺積電從成立至今在先進製程代工領 域始終保有先發優勢,當前量產製程已達到7nm,並計劃於 2020 年 1 月份量產 5nm。三 星與臺積電的製程研發進度目前已基本同步,但受限於其與自身產品 IP 的利益衝突,IC Insights 數據表明 2017 年三星在全球晶圓代工市場的市佔率僅有 8%。聯電與格羅方德先 進製程分別達到 14nm 和 12nm,但出於資本投入壓力和對投資回報率的考量,聯電和格 羅方德已分別宣佈停止12nm和 7nm 以下先進製程的研發。中芯國際仍然致力於先進制 程的追趕與突破,公司預計 2019 年底量產 14nm,風險量產 12nm,與臺積電的製程差距 已縮短至三代。

……

中國市場:增長潛力大,但自給能力不足

根據 IC Insights 最新數據,2018 年全球純晶圓代工市場規模為 577 億美元,同比增長 5%, 增長了 29 億美元;中國地區純晶圓代工市場規模為107億美元,同比增長 41%,增長了 31 億美元,相當於貢獻了全球純晶圓代工市場的全部增量,佔全球比例增加 4.7 個百分點 至 18.5%,說明中國市場的代工需求顯著增加。

通過對比 2018 年全球主要晶圓代工廠在中國地區的收入可以看出:1)臺積電在中國晶圓 代工市場依然佔據霸主地位,充分享受技術紅利帶來的競爭優勢,在中國地區實現收入52億美元(同比增長47%),壟斷 48%的市場份額;2)中芯國際作為國產代工龍頭,在中 國地區實現收入 20 億美元,(同比增長 35%),位居第二,佔據中國市場 19%的份額。

而從公司收入結構來看,中芯國際和華虹半導體作為本土代工廠商,對中國地區的客戶依 賴較為嚴重,公司公告顯示 2018年分別有 59%和 57%的收入來自於中國地區。但另一個 角度來看,即便中芯國際和華虹半導體以中國市場為重,其在中國市場的市佔率合計也僅 有 24%,側面印證了中國本土代工廠商自供能力不足的事實。

究其原因,我們認為主要來自兩個方面:1)本土 IC 設計公司的產品集中在中低端,製程 需求停留在成熟製程,由於國內龐大的下游應用市場規模,本土代工廠商的產能尚無法充 分滿足;2)本土晶圓代工企業在28nm以下的先進製程領域仍然空白,無法滿足國內 IC 設計公司在先進製程領域的代工需求,例如海思的設計能力已經達到 7nm,但本土廠商當 前無法滿足 7nm 的代工需求。

中國市場的龐大需求吸引了臺積電、聯電、SK 海力士、三星等外資晶圓製造領軍企業紛 紛在中國投資擴產。與此同時,中國本土企業(包括 IDM 和 foundry)也在積極擴產。根 據芯思想研究院最新統計,2018 年中國共有 46 個晶圓生產線項目,總投資金額高達 1.4 萬億元。截至 2018 年末,中國 12 英寸晶圓製造月產能達到 60 萬片,8 英寸晶圓製造月 產能達到 90 萬片。

但即便如此,海外廠商仍然是中國半導體制造的主力軍。據 IC Insights 預測,截至 2023 年中國內陸至少還有約 50%的晶圓製造將來自於臺灣和海外的企業,如 SK 海力士、三星、 英特爾、臺積電、聯電等,因為半導體制造的發展對資本及人力的投入都有極高要求。即 便本土廠商加碼投資,短期內仍然無法緩解中國市場本土廠商自供能力不足的局面

發展動能:重金投入必不可少,技術紅利創造利潤空間

對代工企業而言,技術能力的領先不僅需要時間上的先發優勢,更需要資本(設備和研發) 上的重金投入。臺積電在成立初期,由於生產工藝相對 IDM 較為落後,客戶資源稀少,脫 離 IDM 的代工模式尚未得到行業認可,臺積電經營面臨較大壓力。1988 年,在臺積電舉 步維艱的困境下,張忠謀找到時任因特爾 CEO 的安迪.格魯夫,並邀請其對臺積電的代工 能力進行考察認證。經過反覆改進,張忠謀最終拿到了英特爾的認證和訂單,扭轉了臺積 電的經營困境,正式啟動了臺積電與半導體巨頭的代工合作模式。

為了匹配客戶在製程及產能方面的需求,臺積電成立初期的資本開支佔收入比例最低為19%,最高達到 88%。時至今日,即便作為全球晶圓代工龍頭,臺積電每年也仍有約 30% 的收入用於資本開支,這主要是因為先進製程的設備投資要遠高於成熟製程的設備投資。

而中芯國際作為先進製程的追趕者,近年來持續加大在設備及研發方面的投入,2016 年 資本開支佔收入比例達到 92%。而自從 2017 年 10 月梁孟鬆加入中芯國際接手研發部門 後,中芯國際在研發方面的投入也顯著提升,2018 年研發費用佔當期收入的 17%,高於 2016/2017 年的 11%/14%,顯著高於同年臺積電,聯電和三星的研發投入佔比(9%左右)。 有了人才的保障和資本的投入,根據公司公開電話會,目前中芯國際在 28nm 製程平臺上 已經突破了 HKMG 和 HKC+工藝,14nm 將於 2019 年底量產,12nm 也已進入客戶導入 階段預計將於 2019 年底實現風險量產。至此,中芯國際與臺積電的製程差距已縮短至 3 年(28nm 製程差距為 5 年)。

技術紅利創造利潤空間。先進製程追趕背後則往往是折舊成本和價格侵蝕雙重壓力下的利 潤犧牲。臺積電作為代工行業的先發者,其初始投資的設備折舊早已計提完畢,而先進制 程產品在推出後往往因獨佔市場而享有價格優勢,因此,2017-2018 年臺積電的人均創收 超過 60 萬美元/年,經營現金流對資本開支的覆蓋倍數接近 2,毛利率水平能夠穩定維持 在 50%左右,ROE 在 23%左右;相比之下,中芯國際的人均創收不足 20 萬美元/年,經 營現金流對資本開支的覆蓋倍數約為 0.5,毛利率在 23%上下波動,ROE 僅有 3%左右。

發展機遇:特色工藝差異化競爭及製程迭代為本土廠商帶來機會

半導體工藝製程包括邏輯工藝(包括先進製程和成熟製程)和特色工藝。特色工藝所包括 產品主要有嵌入式非易失性存儲,模擬IC,光學器件,傳感器,分立器件等。對本土代工 企業而言,我們認為發展機遇將主要來自兩個方面:1)先進製程的追趕與突破;2)特色 工藝的差異化競爭。

先進製程的追趕,是以中芯國際為首的本土龍頭企業發展方向。由於聯電和格羅方德先後 宣佈停止 12nm和 7nm 以下製程開發,未來全球晶圓代工先進製程的追趕道路上或只剩 下臺積電、三星電子和中芯國際。隨著摩爾定律接近極限,未來先進製程的突破會更加艱 難,而製程極限的突破方向和專利限制將使得現存的代工企業仍然面臨激烈的行業競爭, 但至少短期來看製程追趕者仍有少許研發的後發優勢。另一方面,儘管目前 28nm 出現全 行業產能過剩的局面,但隨著終端產品升級推動製程迭代,未來 28nm 有望成為一個長周 期製程,正如當年的 0.18um 平臺,從 2004 年量產至今,依然是臺積電、中芯國際的重 要收入來源。目前,中芯國際已經具備28nm平臺 PolySion,HKMG 和 HKC+全產品線 的量產能力。

此外,除去龍頭企業在先進製程的佈局外,中國特色工藝市場的發展潛能同樣不容忽視。2016年至 2018 年中國新增 10 條 8 英寸和 6 條 12 英寸特色工藝產線,總計月產能(等8 英寸計算)達到 109.4 萬片,較 2016 年末的 75.6 萬片增加 45%。儘管終端應用的製程 需求在不斷向前迭代,但受制於對設備,性能,功耗的需求,仍然有一些產品如功率器件 將會停留在 8英寸生產線上。萬物互聯的發展過程中終端應用對微控、能源轉換等領域的 需求在不斷增加,但中國目前如 MCU、功率器件等市場仍由外資廠商佔據主導地位,這 對國產廠商而言有較大的進口替代空間。因此,特色工藝的差異化競爭優勢也將為本土廠 商帶來發展契機。

IC 封測:5G 射頻前端集成化促成先進封裝加速在終端滲透

行業格局:封測是半導體產業鏈中相對人力密集的產業

封測是半導體生產過程的最後一環,主要是將切割好的晶粒(Die)進行封裝和測試,半 導體器件的封裝形式包括:DIP、SOP、QFP、PGA、BGA、CSP、SIP 等。半導體封裝 技術的發展歷程主要經歷了三個階段:首先是在 20 世紀 80 年代從引腳插入式封裝(DIP) 發展到表面貼裝(SMD),提高了 PCB 上的組裝密度;其次是在上世紀90年代誕生了球 柵陣列封裝(BGA),在滿足高引腳數量的同時改善了半導體器件的散熱性能和電氣性能; 最後一個階段是晶圓級封裝(WLP)、系統級封裝(SIP)和芯片級封裝(CSP),進一步 縮小了封裝後芯片的體積。

封測是半導體產業鏈中相對人力密集的產業。對比半導體制造行業的臺積電、中芯國際, 處於封測行業的日月光和長電科技的人均創收水平要明顯處於劣勢,2017 年(2018 年臺 積電員工數未公佈)臺積電、中芯國際人均創收66.11萬美金、16.22 萬美金,而日月光、 長電科技人均創收為 13.89 萬美金、12.74 萬美金。與此同時,2018 年臺積電、中芯國際、 日月光和長電四家企業的折舊相對總營收的比重分別為 25.9%、24.74%、10.6%、12.4%, 由以上可見,半導體封測是一個相對人力密集型的產業,勞動力成本是全球產業鏈分工過 程中重要的比較優勢來源。

中國市場:臺灣及大陸企業已是全球半導體封測市場的主力軍:略

……

發展機遇:先進封裝助力延續摩爾定律

在半導體產業逐漸面臨著摩爾定律逼近物理極限的挑戰之際,芯片加工過程中要實現向更 先進製程突破的難度日益提高,因此能夠通過充分利用 3D 空間以實現更小面積、更高集 成度的封裝方案成為延續摩爾定律的重要技術路線,具體的封裝技術包括高性能 2.5D/3D TSV(硅通孔)封裝技術、WLP(晶圓級封裝技術)、高密度SiP系統級封裝技術等。根 據 Yole 數據,2017-2023 年整個半導體封裝行業的營收將以 5.2%的 CAGR 增長,而其 中先進封裝的 CAGR 達到 7%,市場規模在 2023 年有望達到 390 億美金。

Yole 預計在 2017-2023 年間,在先進封裝市場中,佔據主要市場份額的倒裝芯片(Flip-Chip) 封裝將以7%的 CAGR 增長,扇入型晶圓級封裝(Fan-in WLP)、扇出型封裝(Fan-out)、3D 硅 通孔(TSV)封裝、嵌入式(Embedded die)封裝的 CAGR 將分別達到 7%、15%、29%、22%。

我國半導體封測產業中,以 Flip-Chip 為代表的先進封裝的比重持續提升。根據中國電子 信息產業統計年鑑數據,2017 年屬於先進封裝方向的 Flip-Chip、CSP(芯片級封裝)、 DIP/SIP/ZIP 的產量分別佔到封裝產品整體的 3%、21%、3%,其中 CSP 提升幅度顯著, 對比 Gartner 數據,2017 年全球先進封裝出貨量約 35%,較國內水平高出約 8pct。

發展動能:5G 射頻前端集成化促成先進封裝加速在手機端滲透

伴隨著智能手機從 2G 向 5G 的升級歷程,射頻前端的複雜度因支持頻段數量的增加而迅 速提升。根據 skyworks 數據,5G 終端將支持 30 個頻段並標配 4X4 MIMO 天線,濾波器 的總數量將由 4G 時代的 40 個上升到 70 個,因此在 5G 終端有限的空間中需要採用更加 集成化的方案來縮小整個射頻前端的體積。

5G射頻前端模塊化趨勢已經歷了超過 5 年的演進。在 2013 年 LTE 開始迅猛發展的時期, 已經出現了多模多頻段的 PA,從 2015 年起很多射頻前端模組廠商便開始推出了將 PA、 雙工器、濾波器、天線開關統一集成的模塊,在產品開發時只需要將 MIPI 寄存器配置成 功就可以驅動整個模塊,不再需要對每個頻段進行逐一調試。這種模塊化的設計方案很好 響應了 4G、5G 時期射頻前端集成化、小型化的需求,我們認為將在智能手機等終端產品 中得到更廣泛的應用。

SiP、WLP 等封裝方案是縮小射頻前端模塊體積的有效技術路。SiP(System-In-Package) 是指將多個具有不同功能的有源電子元件與可選無源器件,以及諸如 MEMS 或者光學器 件等其他器件優先組裝到一起,實現一定功能的單個標準封裝件,最終形成一個系統或者 子系統。4G射頻前端的 SiP 模組目前由少數幾家 IDM 廠商佔據主導地位,如 Qorvo、博 通、Skyworks Solutions 和村田,它們將部分 SiP 組裝外包給封測廠商。根據 Yole 數據, 2018 年射頻前端模組 SiP 市場(包括一級和二級封裝)總規模為 33 億美元,預計 2018~2023 年期間的 CAGR 將達到 11.3%,市場規模到 2023 年有望增至 53 億美元。

WLP 可分為 fan-in 及 fan-out 兩種,其中 fan-in 是在 wafer 未進行切片前對 wafer 進行封 裝,之後再進行切片分割,完成後的封裝大小和芯片尺寸接近。而 fan-out 則是基於 wafer 重構技術,將芯片重新佈局到一塊人工晶圓上,然後按照與標準的 WLP 工藝類似的步驟 進行封裝,封裝面積大於芯片面積。傳統的 WLP 封裝多采用 fan-in 形態,應用於 pin(引 腳)數量較少的 IC 芯片,伴隨著 IC 引腳數目的增加,對錫球間距的要求日趨嚴格,加上 PCB 排線對於 IC 封裝後尺寸以及引腳位置的調整要求較高,因此衍生出 fan-out。

由於 FOWLP 是從裸晶端點拉出所需電路到 RDL 層進而形成封裝,因此在最終的產品形 態中不存在封裝載板,可以減少成本並降低芯片厚度,此外,由於RDL層有助於縮短互 聯電路的長度,可有效降低高頻信號的傳輸損耗。

華為海思:上下游協同、重視人才與研發,鑄就本土半導體驕傲:略

科創板有望重構 A股半導體估值體系,助力產業發展:略

……

溫馨提示:如需原文檔,請登陸未來智庫www.vzkoo.com,搜索下載。

(報告來源:華泰證券;分析師:胡劍、彭茜)


相關推薦

推薦中...