中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

10 個回答
大金聊科技
2019-04-21

其實題主的提問代表了絕大部分人的心態,但是,提到光刻機,有一個名字是永遠繞不過去的,這就是ASML(阿斯麥)。

中國芯片前路艱辛,這話沒錯,尤其是在光刻機領域。

繞不過去的隱形霸主,全球光刻機市場的No.1

為了更好地介紹ASML,我們先來介紹芯片的製作過程
中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?芯片的製造就像所有工業品的加工一樣,首先要有設計圖,然後要有專業生產線和設備去加工。其中,這個加工設備中最核心,最難製造的就是光刻機。

光刻技術就是把圖像投影到感光底片上,用光做刀,刻畫出精細的圖案。
中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

現在芯片越做越小,對光刻機的要求也越來越高,通常,芯片圖形線條的粗細不能低於光波長的一半。當前全球最頂尖的極紫外光刻機(EUV)採用了13.5納米的極紫外光。目前手機芯片中高通855和麒麟980都是7納米制程,那麼對光刀的要求可想而知。

在使用極紫外光之前,還用過激光,紫外光,深紫外光。一臺光刻機的裝配大概需要5萬個零件左右,幾乎每個組件都是壟斷性技術產品。

ASML(阿斯麥)這家荷蘭公司,是世界上最高精度光刻機——EUV光刻機的唯一生產商。在全球光刻機市場上佔據了80%以上的份額。

一臺EUV光刻機售價過億,美元。
中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

國內光刻行業現狀

重點來了,國內,第一大光刻機制造商,上海微電子目前是處於90納米的可量產,65納米的研製攻關過程中。

所以,無論是臺積電(蘋果,華為代工方),還是三星,英特爾,想要造7/10納米制程芯片,必須買ASML的光刻機。這是唯一選擇。

另外,EUV光刻機不像手機,說買就買,有錢還不行,得排隊。

2013~2017年間,ASML出售EUV用量不到30臺。

2018年的訂單是21臺,臺積電定了10臺,三星6臺,英特爾3臺,格芯1臺,中芯國際1臺(這幾乎花了中芯國際2017年的全年利潤)

2019年,ASML計劃出貨30臺。

2018年的訂單,比如中芯國際的 EUV光刻機,最快估計也是上半年到貨。排隊時間接近1年。

而這臺EUV光刻機可用於7納米和10納米制程的芯片生產。

ASML產品發展進程

ASML建立於1984年,總部在荷蘭菲爾德霍芬,是從飛利浦半導體部門獨立出來的。他的產品非常專一,只做光刻機。
中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

從成立至今幾十年間經歷了多次併購,也得到過英特爾,臺積電,三星的投資,經歷多年的技術積累和充沛的資金投入,ASML的光刻機開始了階梯式發展。
中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

目前ASML與比利時微電子中心達成新的合作協議,研發新一代更高精度光刻機,為3納米,5納米制程芯片製作鋪路。

反觀國內,我們還在65納米理解做功課。。。所以這個差距還是很大。國內芯片行業依然前路艱辛。

雖然ASML能將最尖端的EUV光刻機賣給中國廠家,但這也未必是一件好事。

有了新設備,自主研發的路就會更漫長艱辛,希望國內有一天也能研發出類似精度產品,否則,沒有掌握核心技術,最後只能落得一個裝配工廠的境遇,只能把自己的命門掌握在別人的手裡。

fetion211
2019-02-01

中國蝕刻機已達到世界先進水平

據媒體報道,2018年12月,中微半導體設備(上海)有限公司自主研製的5納米等離子體刻蝕機經臺積電驗證,性能優良,將用於全球首條5納米制程生產線。5納米,相當於頭髮絲直徑(約為0.1毫米)的二萬分之一,將成為集成電路芯片上的最小線寬。臺積電計劃2019年進行5納米制程試產,預計2020年量產。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲半導體器件工藝製程從14納米微縮到5納,等離子蝕刻步驟會增加三倍

刻蝕機是芯片製造的關鍵設備之一,曾一度是發達國家的出口管制產品。中微半導體聯合創始人倪圖強表示,中微與科林研發(Lam Research)、應用材料(Applied Materials)、東京威力科創(Tokyo Electron Limited)、日立全球先端科技 (Hitachi High-Technologies) 4家美日企業,組成了國際第一梯隊,為7納米芯片生產線供應刻蝕機。中微半導體如今通過臺積電驗證的5納米刻蝕機,預計能獲得比7納米更大的市場份額。

中科院SP超分辨光刻機

提問者所說的中國光刻機達到世界先進水平,應該是指2018年11月29日通過驗收的,由中國科學院光電技術研究所主導、經過近七年艱苦攻關研製的“超分辨光刻裝備”項目。

該項目下研製的這臺光刻機是“世界上首臺分辨力最高的紫外(即22納米@365納米)超分辨光刻裝備”。這是一種表面等離子體(surfaceplasma,SP)超分辨光刻裝備。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲中科院研製成功並通過驗收的SP光刻機

該光刻機在365納米光源波長下,單次曝光最高線寬分辨力達到22納米。結合雙重曝光技術後,未來還可用於製造10nm級別的芯片。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲中科院研發的光刻機鏡頭

目前這個裝備已製備出一系列納米功能器件,包括大口徑薄膜鏡、超導納米線單光子探測器、切倫科夫輻射器件、生化傳感芯片、超表面成像器件等,也就是說,目前主要是一些光學等領域的器件。驗證了該裝備納米功能器件加工能力,已達到實用化水平。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲中科院SP光刻機加工的樣品

然而,此次驗收合格的中科院光電技術研究所的這臺表面等離子超衍射光刻機(SP光刻機)的加工精度與ASML的光刻機沒法比。沒法用於刻幾十納米級的芯片,至少以現在的技術不能。

據光電所專家稱,該所研製成功的這種SP光刻機用於芯片製造上還需要攻克一系列的技術難題,目前距離還很遙遠。也就是說中科院研製的這種光刻機不能(像一些網媒說的)用來光刻CPU。它的意義是用便宜光源實現較高的分辨率,用於一些特殊製造場景,很經濟。

總之,中科院的22納米分辨率光刻機跟ASML壟斷的光刻機不是一回事,說前者彎道超車,就好像說中國出了個競走名將要超越博爾特。

顯然,中科院研製成功的這臺“超分辨光刻裝備”並不能說明我國在市場主流的的光刻機研製方面已經達到了世界先進水平,那麼現階段我國的光刻機的真實水平又是怎樣的呢?且看以下對比。

上海微電子 VS 荷蘭ASML

上海微電子裝備有限公司(SMEE)是目前國內唯一能做光刻機的企業。荷蘭ASML公司是世界上唯一一個能夠製造EUV光刻機的廠商,並且產量極低。其全部產能早在生產之前就被預訂一空。

EUV 作為現在最先進的光刻機,是唯一能夠生產 7nm 以下製程的設備,因為它發射的光線波長僅為現有設備的十五分之一,能夠蝕刻更加精細的半導體電路,所以 EUV 也被成為“突破摩爾定律的救星”。

如今,業內已達成共識,更尖端的芯片製造工藝將小於5nm,並且必須使用EUV光刻機才能實現。摩根大通最新報告表示,ASML已經確認1.5nm製程的發展性,可支撐摩爾定律延續至2030年。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲半導體制造業的發展史

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲摩爾定律(是半導體芯片微縮製程工藝的發展定律)

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲光刻工藝的發展趨勢

當前ASML用於7納米制程芯片製造的最新型NXE:3400B EUV光刻機的報價是1.2億美元一臺,它家傳統的ArF沉浸式光刻機(14nm節點)報價是7200萬美元一臺。相比之下,中國最好的光刻機廠商上海微電子已經量產的光刻機中,性能最好的SSA600/20工藝只能達到90nm,相當於2004年上市的奔騰四CPU的水準。而國外的先進水平已經達到了7納米,正因如此,國內晶圓廠所需的高端光刻機完全依賴進口。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲上海微電子的主要設備產品

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲上海微電子裝備有限公司(SMEE)生產的600系列光刻機

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲上海微電子裝備有限公司(SMEE)已經量產的光刻機中性能最好的SSA600/20光刻機

上海微電子與ASML簽署戰略合作備忘錄

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲上海微電子(SMEE)與阿斯麥(ASML)簽署戰略合作備忘錄

2017年3月14日,上海微電子裝備(集團)股份有限公司(SMEE)宣佈,與世界領先的芯片製造設備的領先廠商阿斯麥 (ASML) 簽署戰略合作備忘錄(MoU),為雙方進一步的潛在合作奠定了基礎。

根據這項合作備忘ASML和SMEE將探索就ASML光刻系統的特定模塊或半導體行業相關產品進行採購的可能性。

上海微電子定購了一批瑞士高精尖機床

據消息人士披露,上海微電子訂了一批Bumotec機床。Bumotec是瑞士斯達拉格旗下的子品牌,能製造世界頂尖的加工光學儀器的機床,據說比ASML用的德國機床還好點——一般人耳熟能詳的那些高端機床,和斯達拉格不是一個世界的。斯達拉格專攻高精尖製造領域的製造設備,所以知道這個公司的人非常少。但名氣小不意味著技術就不頂尖。相信隨著這批機床的到貨,有助於上海微電子攻克65nm光刻機的技術難關。

我國已突破EUV光刻部分關鍵技術

極紫外光刻(Extreme Ultraviolet Lithography, EUVL)是一種採用波長13.5nm極紫外光為工作波長的投影光刻技術,是傳統光刻技術向更短波長的合理延伸。這種光刻技術被公認為是最具潛力的下一代光刻技術,面對的是7nm和5nm節點,代表了當前應用光學發展最高水平,被行業賦予拯救摩爾定律的使命。作為前瞻性EUV光刻關鍵技術研究,國外同類技術封鎖嚴重,項目指標要求高,技術難度大、瓶頸多。

2017年,由長春光機所牽頭承擔的國家科技重大專項02專項——“極紫外光刻關鍵技術研究”項目順利完成驗收。

長春光機所、中科院光電技術研究所、中科院上海光學精密機械研究所、中科院微電子研究所、北京理工大學、哈爾濱工業大學、華中科技大學等參研單位歷經八年的潛心鑽研,突破了制約我國極紫外光刻發展的超高精度非球面加工與檢測、極紫外多層膜、投影物鏡系統集成測試等核心單元技術,成功研製了波像差優於0.75 nm RMS 的兩鏡EUV 光刻物鏡系統,構建了EUV 光刻曝光裝置,國內首次獲得EUV 投影光刻32 nm 線寬的光刻膠曝光圖形。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲國家科技重大專項02專項——“極紫外光刻關鍵技術研究”項目成果展示

建立了較為完善的曝光光學系統關鍵技術研發平臺,圓滿完成國家重大專項部署的研究內容與任務目標,實現EUV 光學成像技術跨越,顯著提升了我國極紫外光刻核心光學技術水平。同時,項目的實施形成了一支穩定的研究團隊,為我國能夠在下一代光刻技術領域實現可持續發展奠定堅實的技術與人才基礎。

根據官方披露的消息,計劃在2030年實現EUV光刻機的國產化。

由此可見,國產光刻機要突破壟斷還有很長的路需要走。好在,我們國家已經出臺了光刻機的國家規劃。

光刻機的國家規劃

2008年“極大規模集成電路製造裝備及成套工藝”國家科技重大專項將EUVL技術列為下一代光刻技術重點攻關,《中國製造2025》將EUVL列為了集成電路製造領域的發展重點,並計劃在2030年實現EUV光刻機的國產化。

從國家規劃來看,我國光刻機技術落後荷蘭ASML公司至少20年。

上海集成電路研發中心攜手ASML在上海建光刻人才培訓中心

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲上海集成電路研發中心(ICRD)與阿斯麥(ASML)簽署合作備忘錄

2017年6月21日,上海集成電路研發中心有限公司(ICRD)與ASML簽署合作備忘錄,雙方將在上海合作共建一個半導體光刻人才培訓中心。

未來,這個培訓中心將擁有多款ASML的光刻設備和檢測設備,也將逐步對國內半導體行業企業內的光刻工程師開放。這將大幅提高中國集成電路產業在高端和專業技術人才方面的培養力度。這對國內工程師熟悉設備工藝,提升光刻技能與專業知識具有積極的促進作用。

綜上所述,我國的蝕刻機技術已達世界先進水平。光刻機技術雖然已經取得了部分關鍵技術的突破,也得到了國際光刻機巨頭ASML的支持,但是,光刻機技術要趕上世界先進水平還有很長的一段路要走。除蝕刻機與光刻機外,目前我國在芯片領域又發展的怎麼樣了呢?

我國芯片產業人才現狀

2018年8月17日,中國電子信息產業發展研究院(CCID)和工業和信息化部軟件與集成電路促進中心(CSIP)在京聯合發佈了《中國集成電路產業人才白皮書(2017-2018)》。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲《中國集成電路產業人才白皮書(2017-2018)》發佈現場

據白皮書統計分析顯示,到2020年前後,我國集成電路產業人才需求規模約為72萬人左右,截止到2017年底,我國集成電路產業現有人才存量40萬人左右,人才缺口為32萬人,年均人才需求數為10萬人左右,而每年高校集成電路專業領域的畢業生中僅有不足3萬人進入到本行業就業。單純依託高校不能夠滿足人才的供給要求,應大力發展職業培訓並開展繼續教育活動,加大海外高層次人才引進力度,採取多種形式大力培養和培訓集成電路領域高層次、急需緊缺和骨幹專業技術人才。

我國芯片產業的真實水平體現在以下幾個方面:

⑴在美國製裁中興前,很多人並不清楚我國芯片產業的短板。殘酷的事實表明,除了移動通信終端和核心網絡設備有部分集成電路產品佔有率超過10%外,包括計算機系統中的MPU、通用電子系統中的FPGA/EPLD和DSP、通信裝備中的Embedded MPU和 DSP、存儲設備中的DRAM和Nand Flash、顯示及視頻系統中的Display Driver,國產芯片佔有率都是0;

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲核心集成電路國產芯片市場佔有率

⑵據SEMI數據顯示,中國本土公司芯片需求與供應額正持續擴大,2017年中國公司僅能滿足本土芯片需求的26%左右。此外,目前我國半導體設備自制率不足15%,且集中於晶圓製造的後道封測,前道工藝製程環節的關鍵設備如光刻機、刻蝕機、薄膜沉積等仍有待突破;且晶圓製造等設備在採購中面臨國外企業的技術封鎖,需要全面推進國產化工作;

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲我國集成電路國內市場銷售總額以及自給率統計圖(注:2016年起,我國集成電路的自給率均在10%以上,E——Estimate:估計,預測)

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲集成電路生產步驟、主要工藝以及所需的設備

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲集成電路製造設備

⑶我國雖然是全球最大的芯片市場,但是由於國內芯片產業整體實力落後於世界先進水平,每年不得不從國外大量進口芯片,進口額高、貿易逆差大成為芯片產業難以撕掉的標籤。據海關總署數據顯示,2013年以來,集成電路年進口額便維持在2000億美元以上,2017年達到2601億美元。進出口貿易逆差也在不斷擴大,2017年達到了近年來最高值1932億美元。芯片產業長期被國外廠商控制,進口額常年居高不下,已經超過了石油和大宗商品,成為我國第一大進口商品;

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲2015~2017年我國集成電路和原油進口額對比圖

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲近幾年我國集成電路進、出口總額以及貿易赤字數據統計圖

我國雖然有著全球最大的半導體市場,但集成電路設計企業的主流產品仍然集中在中低端,與國外企業差距巨大。據Gartner發佈的數據,2018年營收規模排在全球前10名的半導體企業中,無一家屬於中國,其中韓國佔2家,歐洲2家,而美國則多達6家,是名副其實的芯片霸主。中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?
▲2018年全球排名前10的半導體公司

就目前產業情況來看,中國芯片順利完成國產化進程,仍然需要一定的時間,大約是10-15年。所以,即便是我國的蝕刻機技術已經達到了世界先進水平,我國的芯片產業依舊前路艱辛。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲我國半導體產業的發展階段圖

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲我國的國家集成電路發展推進綱要


————▼▼▼■延展閱讀■▼▼▼————

光刻機被業界譽為集成電路產業皇冠上的明珠,研發的技術門檻和資金門檻非常高。也正是因此,能生產高端光刻機的廠商非常少,到最先進的14nm光刻機就只剩下ASML,日本佳能和尼康已經基本放棄第六代EUV光刻機的研發。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲各代光刻機的參數對比

光刻機三巨頭:荷蘭的ASML(阿斯麥)、日本的Nikon(尼康)和Canon(佳能)

自從1978年,美國GCA公司推出了全球第一臺光刻機之後,日本的光學設備巨頭Nikon便在光刻機市場迅速崛起,日本的佳能和荷蘭的ASML也是緊跟其後,很快市場上便形成了三強並立的局面,這三家廠商幾乎壟斷了整個光刻機市場。其中尼康市的場份額長期都在50%以上,可謂是當之無愧的霸主。

不過在193nm光刻技術逐漸成為市場主流之後,Nikon和Canon的市場份額便開始加速下滑,ASML開始後來居上。特別是2002年之後,193nm浸沒式光刻技術迅速成為光刻技術中的新寵,因為此種技術的原理清晰及配合現有的光刻技術變動不大,獲得了眾多廠商的應用,此後很多45nm、32nm工藝的CPU芯片製造,都是採用193nm液浸式光刻系統來完成的。

而ASML也憑藉其在193nm浸沒式光刻技術上的優勢一舉超越了Nikon和Canon。 目前193nm液浸式光刻仍然是應用最廣且最成熟的技術,能夠滿足精確度和成本要求,所以其工藝的延伸性非常強,很難被取代。再加上新的EUV光刻技術的一再推遲,以至於隨後的22/16/14/10nm節點主要幾家芯片廠商也仍然繼續使用基於193nm液浸式光刻系統的雙重成像(double patterning)技術。

ASML成功邀請到了其最大的幾個客戶參與投資和研發

2012年7月,為了加快下一代EUV光刻技術和450mm晶圓技術研發,ASML宣佈開展客戶共同投資計劃,邀請其最大的客戶參與投資和研發。

國際半導體巨頭英特爾率先做出響應,向ASML投資了33.67億歐元,其中8.29億歐元用於450毫米晶圓和EUV極紫外光刻技術,25.38億歐元用於購買15%的股權。一個月後,臺積電也宣佈投資2.76億歐元(3.44億美元)幫助ASML開發新技術,再拿出8.38億歐元購買5%的股權。隨後,三星也投入也是2.76歐元)幫助ASML開發新技術,不過購買股權方面只花了5.03億歐元(6.28億美元),換取了3%的股權。

三大國際半導體大廠總共向ASML投入了13.8億歐元(17.22億美元)的研發資金,正好達到了ASML最初的預期值,而ASML通過出讓23%的股權換來了38.79億歐元(48.39億美元),合計達到52.59億歐元(65.61億美元)。

英特爾、臺積電、三星之所以選擇資助和入股ASML其中一個原因就是為了推動其EUV光刻機的研發。

這也促使了ASML在2012年的時候就推出了試驗型EUV光刻設備NXE:3100,後續又推出了量產型NEX:3300B,2014年又推出了NXE 3350B。目前各家主要在用的就是NXE 3350B。但都難以達到EUV光刻的量產要求。

好在,ASML最高端的EUV光刻機NXE 3400B已經開始出貨。根據目前的情況來看,英特爾、三星、臺積電都希望在7nm工藝量產上採用EUV光刻技術(英特爾可能會用於其10nm工藝的量產)。而ASML的NXE:3400B EUV光刻機則成為了他們能否實現量產計劃的關鍵。

放眼全球,能夠邀請到主要客戶參與鉅額定增給自己研發費用,研發成功後的產品再賣給客戶賺錢的公司,可能僅有ASML了。ASML被全球半導體巨頭欽點,攻克EUV難題進而突破約束先進製程的物理障礙。

國際半導體三巨頭出售ASML股票獲利頗豐

不過,臺積電已於2017年5月全部出售了其所持有的5%的ASML股權,獲利214億臺幣(約合6.95億美元)。

2016年三星以6.06億歐元的價格出售630萬股ASML股票,每股約96歐元,僅這一筆出售三星獲利頗豐。

英特爾從2016年底開始出售ASML股權,截至2017年9月,英特爾仍持有ASML約7.6%的股份。

顯然,英特爾、三星和臺積電之所以都這樣做,都是想在芯片製造領域取得領先的技術優勢。

ASML稱霸全球高端光刻機市場

目前全球光刻機廠商有4家,分別是ASML(阿斯麥)、Nikon(尼康)、Canon(佳能)和上海微電子(SMEE)。2017年全球光刻機總出貨294臺,其中ASML共就出貨198臺(其中EUV光刻機11臺),佔全球68%的市場份額。EUV光刻機方面,ASML佔有率100%。2017年單臺EUV機臺平均售價超過1億歐元,2018年一季度的售價 更是接近1.2億歐元(有價無貨)。在高端光刻機方面,ASML佔有88%的市場。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲荷蘭ASML、日本佳能和尼康三家公司光刻機出貨比重

在高端光刻機上,除了龍頭老大ASML,尼康和佳能也曾做過光刻機,而且尼康還曾經得到過Intel的訂單。

但是近些年,尼康在ASML面前被打的毫無還手之力,高端光刻機市場基本被ASML佔據——即便是尼康最新的Ar-F immersion 630賣價還不到ASML Ar-F immersion 1980D平均售價的一半,也無法挽回敗局。

ASML的 EUV NXE 3350B 單價超過1億美元,ArF Immersion售價大約在7000萬美元左右。相比之下,尼康光刻機的單價只相當於ASML價格的三分之一。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲ASML公司EUV光刻機的開發藍圖

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲ASML公司近幾年推出的三款光刻機

ASML公司的高端光刻機型號

ASML為半導體生產商提供光刻機及相關服務,TWINSCAN系列是目前世界上精度最高,生產效率最高,應用最為廣泛的高端光刻機型。目前全球絕大多數半導體生產廠商,都向9ASML採購TWINSCAN機型,例如英特爾(Intel),三星(Samsung),海力士(Hynix),臺積電(TSMC),中芯國際(SMIC)。

ASML的產品線分為PAS系列,AT系列,XT系列和NXT系列,其中PAS系列光源為高壓汞燈光源,現已停產,AT系列屬於老型號,多數已經停產。市場上主力機種是XT系列以及NXT系列,為ArF和KrF激光光源,XT系列是成熟的機型,分為乾式和沉浸式兩種,而NXT系列則是現在主推的高端機型,全部為沉浸式。

三星已經量產的7nm LPP(Low Power Plus)工藝芯片採用ASML的EUV光刻機,型號為雙工件臺NXE:3400B(光源功率280W),日產能1500片。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲ASML最新的 TWINSCAN NXE:3400B EUV光刻機

值得一提的是,ASML2019年下半年會推出新一代的NXE:3400C型光刻機,WPH(每小時處理的晶圓數量)產能從現在的每小時125片晶圓提升到155片晶圓以上,意味著產能提升24%。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲ASML2019新一代的NXE:3400C型光刻機(預計將於2019年下半年推出)

現在的NXE:3400B型EUV光刻機的產能為125 WPH,而NXE:3400C的產能預計再提升24%,這對改善EUV工藝的產能很有幫助。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲ASML2018年8月出貨的新品Twinscan NXT:2000i DUV光刻機 (NXT:2000i雙工件臺深紫外光刻機)

NXT:2000i也成為了ASML旗下套刻精度(overlay)最高的產品,達到了和3400B一樣的1.9nm,遠低於5nm要求的2.4nm以及7nm要求的至少3.5nm。 未來將會用於全新的7nm和5nm的工藝。

ASML解釋道,i是immersion的意思。NXT2000都是immersion的機器。所以NXT2000即NXT2000i。

NXT2000i將是NXE3400B EUV光刻機的有效補充,畢竟臺積電/GF的第一代7nm都是基於DUV工藝。

DUV和EUV的區別

DUV是深紫外線(Deep Ultraviolet Lithography)。紫外線中波長在200納米至350納米的光線被稱為深紫外線,被廣泛用於淨水廠、醫院、工廠無塵車間的空氣殺菌、處理甲醛等領域。目前常見的浸入式深紫外光刻機(DUV)使用的時193nm波長的深紫外光。

EUV是極紫外線(Extreme Ultraviolet Lithography)。EUV光刻技術是以波長為10-14納米的極紫外光作為光源的光刻技術。具體為採用波長為13.4nm 的軟x 射線。極紫外線就是指需要通過通電激發紫外線管的K極然後放射出紫外線。現在的EUV光刻機使用的是波長13.5nm的極紫外光。

ASML公司2018年財報亮眼

ASML公司2018年營收109億歐元(約839億元人民幣),其中設備銷售額82.59億歐元(約636億元人民幣),淨利潤26億歐元(約200億元人民幣)。2018年ASML投入16億歐元(約123億元人民幣)研發,佔營收約15 %。其中來自中國市場的銷售額就佔到了19%,而ASML CEO表示來自中國市場的強勁需求還會持續下去,因此他們對2019年的業績也很有信心。

中國廠商也進口了ASML公司的高端光刻機

中國的晶圓廠除了進口ASML公司的ArF、KrF傳統光刻機之外,EUV光刻機也採購了。不過國內沒有大批量採購EUV光刻機的原因主要是技術還沒跟上,EUV光刻機適用於7nm及以下工藝,國內目前量產的工藝還是28nm,14nm工藝研發完成了,但是中芯國際今年才能規模量產。

根據中銀國際機械團隊統計,2018年5月19日,長江存儲訂購的ASML193nm浸沒式光刻機運抵武漢。這臺光刻機售價7200萬美元,用於14 nm-20 nm工藝3D NAND閃存生產;

5月21日,華力二期(華虹六廠)訂購的193nm雙極沉浸式光刻機NXT:1980Di已經進場,用於其12英寸先進生產線建設項目;中芯國際(SMIC) 也已向ASML定購一臺價值1.2億美元 的EUV(極紫外線)光刻設備,預計2019年交付。這些設備價格十分高昂,單價在7000萬美元至1.2億美元。

ASML公司2018年的EUV光刻機銷量比上一年大幅增長

2018年全年,ASML公司共出貨EUV光刻機18臺,雖然比起2017年的11臺大幅增長,但是比之前預期的20臺還是有所減少的。根據ASML公司所說,是他們的客戶為了平衡市場供需而延緩了EUV光刻機的交付時間,從今年上半年推遲到了下半年。ASML預計2019年全年將出貨30臺EUV光刻機。


————▼▼▼■知識補充■▼▼▼————

光刻機與蝕刻機的區別

這倆機器最簡單的解釋就是光刻機把電路圖投影到覆蓋有光刻膠的硅片上面,刻蝕機再把剛才畫了電路圖的硅片上的多餘電路圖腐蝕掉,這樣看起來似乎沒什麼難的,但是有一個形象的比喻,每一塊芯片上面的電路結構放大無數倍來看比整個北京都複雜,這就是這光刻和蝕刻的難度。

半導體芯片的刻蝕工藝

按照掩模圖形對半導體襯底表面或表面覆蓋薄膜進行選擇性腐蝕或剝離的技術工藝,是與光刻相聯繫的圖形化處理的主要工藝。所謂刻蝕,說的通俗一點就是利用有化學活性的等離子體在硅片上雕刻出微觀電路,是芯片設計過程中一個關鍵工藝環節。

蝕刻通常分為幹法刻蝕和溼法刻蝕。溼法刻蝕主要是在較為平整的膜面上用稀釋的化學品等刻出絨面,從而增加光程,減少光的反射。幹法刻蝕是用等離子體(氣體)進行薄膜刻蝕的技術工藝,通過電場對等離子體進行引導和加速,使其具備一定能量,當其轟擊被刻蝕物的表面時,更快地與材料進行反應,從而利用物理上的能量轉移實現刻蝕目的。

等離子體刻蝕

等離子體刻蝕在集成電路製造中已有40餘年的發展歷程,最早70年代引入用於去膠,80年代成為集成電路領域成熟的刻蝕技術。刻蝕採用的等離子體源常見的有容性耦合等離子體(CCP-capacitivelycoupled plasma)、感應耦合等離子體ICP(Inductively coupled plasma)和微波ECR 等離子體(microwave electron cyclotronresonance plasma) 等。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲等離子體刻蝕

雖然等離子體刻蝕設備已廣泛應用於集成電路製造,但由於等離子體刻蝕過程中複雜的物理和化學過程到目前為止仍沒有一個有效的方法完全從理論上模擬和分析等離子體刻蝕過程。除刻蝕外,等離子體技術也成功的應用於其他半導體制程,如濺射和等離子體增強化學氣相沉積(PECVD)。當然鑑於plasma豐富的活性粒子,plasma也廣泛應用於其他非半導體領域,如空氣淨化,廢物處理等。

刻蝕機加工芯片的過程好比在指甲蓋大小的芯片上建密密麻麻的“立交橋和高速公路”

只有通過一層層的刻蝕,才能把芯片做出來。這又是國外壟斷的領域。於方寸間近乎神一樣的操作,要求刻蝕機的精度必須達到極致。打個比方,在高倍的電子掃描鏡下,將芯片放大一萬倍,它的結構就像是密密麻麻的立交橋和高速公路,而這些高速公路,只有頭髮絲的萬分之一那麼寬。刻蝕機就是在指甲蓋大小的芯片上建這些“立交橋和高速公路”。

尹志堯和他的團隊開發出了第一臺國產等離子體刻蝕機

憑著過去20多年的經驗和基礎技術支持,尹志堯和他的團隊很快就開發出了第一臺國產的生產半導體芯片的設備——等離子體刻蝕機。

等離子體刻蝕機是在芯片上進行微觀雕刻

等離子體刻蝕機是在芯片上進行微觀雕刻,刻出又細又深的接觸孔或者線條,每個線條和深孔的加工精度是頭髮絲直徑的幾千分之一到上萬分之一。“在米粒上刻字的微雕技藝上,一般能刻200個字已經是極限,而我們的等離子刻蝕機在芯片上的加工工藝,相當於可以在米粒上刻10億個字的水平。”尹志堯這樣形容到。

一個16nm的微觀邏輯器件有60多層微觀結構,要經過1000多個工藝步驟,要攻克上萬個技術細節才能加工出來。只看等離子體刻蝕這個關鍵步驟,它的加工尺度為普通人頭髮絲的五千分之一,加工的精度和重複性要達到五萬分之一。

而5納米刻蝕工藝更緊密,就好比用一個比頭髮絲還小20000倍的筆,在一粒不過3cm的大米上,寫出十億個中文繁體字,難度可想而知。

我國刻蝕機技術與世界最前沿技術比肩

在刻蝕設備領域,美國的泛林半導體憑藉著先發優勢和大量研發投入保持行業龍頭地位,但中國廠商中微半導體在近十年迅速崛起,並開始打入國際市場。

中微在剛剛涉足IC芯片介質刻蝕設備時,就推出了65nm等離子介質刻蝕機產品,隨著技術的進步一直做到45nm、32nm、28nm等,現在16nm刻蝕機已經實現了商業化量產, 而且進入臺積電的5個半導體生產線。

目前尹志堯的團隊能研發生產10nm到7nm的設備已經與世界最前沿技術比肩。這些團隊精英中,上百人都曾是美國和世界一流的芯片和設備企業的技術骨幹,大都有著20到30多年半導體設備研發製造的經驗。

7-10nm刻蝕機設備可以與世界最前沿技術比肩。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲美國商業部的工業安全局決定將等離子刻蝕機從美國對中國控制出口名錄中去除

隨著中微的崛起, 2015年美國商業部的工業安全局特別發佈公告, 承認中國已經擁有製造具備國際競爭力刻蝕機的能力, 且等離子刻蝕機已經進入量產階段,因而決定將等離子刻蝕機從美國對中國控制出口名錄中去除。

刻蝕設備全球主要生產廠商

國內產商:中微半導體、北方微電子、金盛微納科技;

國外廠商:泛林半導體、應用材料、東京電子。

中微半導體設備(上海)有限公司

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲中微半導體設備(上海)有限公司 (AMEC)的LOGO

公司名稱:中微半導體設備(上海)有限公司

英文名稱:Advanced Micro-Fabrication Equipment Inc.

所屬地區:上海市

廠商類型:設備廠商

公司網站:http://www.amec-inc.com

數據提供:上海集成電路行業協會提供

中微半導體設備(上海)有限公司 (AMEC)由尹志堯博士與杜志遊博士、倪圖強博士、麥仕義博士等40多位半導體設備專家創辦,主要深耕集成刻蝕機領域,研製出中國大陸第一臺電介質刻蝕機。

該公司於2004年5月31日在浦東新區市場監管局登記成立。法定代表人是尹志堯(GERALD ZHEYAO YIN)。

中微半導體設備(上海)有限公司是一傢俱有自主研發功能的科研企業,研發了多款具自主知識產權的芯片設備,並在全球範圍內申請了1200餘項專利。

中微半導體擁有一支國際化的團隊,經過海外引進和本土培養,中微600多名員工來自十多個國家和地區。而且公司的研發團隊十分完整,200多人的專業背景覆蓋30多門學科,為刻蝕機研發這一系統工程奠定了基礎。

中微(AMEC)以新加坡為全球銷售和市場總部

尹志堯說,由於新加坡已成為亞洲半導體制造業的重鎮,所以AMEC將其全球銷售和市場總部設在了那裡。 他說,選擇新加坡作為市場總部,是有多方面考慮的。

首先,新加坡政府和經濟發展局對高科技非常重視,提供了優惠條件。其次,新加坡的財務制度很健全,法律制度也很嚴謹,這對投資者來說非常重要。第三,新加坡有非常好的芯片生產的工業基礎,比如在機械加工和線路板供應等配套設施方面,都是令人滿意的。最後一點,新加坡政治穩定,政府廉潔高效,而且新加坡員工的市場營銷能力非常出色。

中微是半導體設備領域唯一一家高端產品達到國際先進水平並全面進入國際市場的中國企業。

中微注重研發投入來保持設備產品及技術的先進性,主營三大類產品:

用於納米級芯片生產的介質刻蝕設備(D-RIE)、用於三維芯片等多種產品生產的硅通孔刻蝕設備(TSV)和用於半導體照明和功率器件芯片生產的金屬有機化合物氣相沉積設備(MOCVD)。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲2018年3月13日,中微半導體設備(上海)有限公司(以下簡稱“中微”)在上海舉辦的SEMICON China期間正式發佈了第一代電感耦合等離子體刻蝕設備Primo nanova®,用於大批量生產存儲芯片和邏輯芯片的前道工序。

Primo nanova®是中微公司的註冊商標。

中微半導體的目標是:未來十年將持續開發新產品,擴大市場佔有率,2020年營收達20億元、2050年營收達50億元,並進入國際五強半導體設備公司。

中微(AMEC)產品的性能達到了世界頂尖水平

⒈高端等離子刻蝕機領域:

中微的12英寸CCP介質等離子體刻蝕機已成為和美日設備並列的三個最有競爭力的產品之一;

⒉硅通孔刻蝕機領域:

中微的TSV硅通孔刻蝕機是業界唯一的雙臺機,是性能好、加工成本最低的刻蝕設備,已經可以和美國、日本和歐洲TSV刻蝕機比肩而行;

⒊化學薄膜技術MOCVD領域:

中微的MOCVD是具有自動傳送的四反應腔系統,可以連續加工上百批LED,是業界僅有可做到這個水平的兩種設備之一,成功地填補了中國在該領域的空白。

中微(AMEC)主要的產品和技術

一、電介質刻蝕機

公司率先開發了包括甚高頻去耦合反應離子刻蝕的等離子體源和雙反應臺的反應腔等一系列完全自主創新的設計,使之與國外同類設備相比,在產能、潔淨室面積佔用和設備擁有成本等重要指標上都具有約30%的優勢。

目前完成了65-45納米、32-22納米、22-14納米三代電介質刻蝕裝備產品研製並實現了產業化,而且已經在國際市場上,在各個技術節點上都與世界最先進的設備廠商競爭。 中微半導體的CCP介質刻蝕設備已經全面進入國際領先的芯片生產線。介質刻蝕已經佔到40納米到28納米的國內Foundry市場的40%以上。

在最領先的Foundry已經有了232個反應臺,生產了2500多萬片晶圓。中微已經在10納米和7納米的研發線核准了幾道刻蝕應用,成為了標配設備。

同時中微半導體已經開始了5納米的器件刻蝕開發,會核准更多的蝕刻應用。

1、Primo D-RIE™

Primo D-RIE™是中微(AMEC)公司自主研發的300毫米電介質刻蝕設備,可以用於加工64/45/28納米氧化硅(SiO),氮化硅(SiN)及低介電係數(low K)膜層等不同電介質材料。

設備系統可以靈活地裝置多達三個雙反應臺反應器,以達到最佳芯片加工輸出量。 每個反應臺獨立的射頻發生器,各反應臺均勻度的分別控制和刻蝕終點控制,使得每片晶圓可以在獨立的反應環境中被刻蝕處理,達到最佳結果。這是業界第一次在同一機臺上實現單芯片或雙芯片加工隨意轉換成為可能。

2、Primo AD-RIE™

Primo AD-RIE是中微(AMEC) 公司用於流程前端(FEOL)及後端(BEOL)關鍵刻蝕應用的第二代電介質刻蝕設備,主要用於22納米及以下的芯片刻蝕加工。Primo AD-RIE具備更優越的重複性及穩定性以外,還可將晶片上關鍵尺寸均勻度控制在2納米內。

Primo AD-RIE™ 設備的單位投資產出率比市場上其他同類設備提高了30%以上,佔地面積較其他同類設備減少了30%以上,並能使加工晶圓的成本降低20%至40%,Primo AD-RIE™ 設備已成為市場上生產率最高、單位投資產出率最高的先進刻蝕設備,用於各種關鍵及通常的工藝應用。

二、硅通孔刻蝕機

開發了8英寸和12英寸TSV硅通孔刻蝕設備Primo TSV200E和Primo TSV300E;特色是擁有雙反應臺的反應器,既可以單獨加工單個晶圓片,又可以同時加工兩個晶圓片。Primo TSV可安裝多達三個雙反應器(6個反應臺),與同類競爭產品僅有單個反應臺的設備相比,中微TSV刻蝕設備的這一特點使晶圓片產出量近乎翻了一番,同時又降低了加工成本,單位投資產出率比市場上其他同類設備提高了30%。可應用於8英寸晶圓微電子器件、微機電系統、微電光器件等的封裝。

產品不但佔有了約50%的國內市場,而且已經進入了臺灣、新加坡、日本和歐洲市場。特別是在國際MEMS傳感器最領先的博世(BOSCH)和意法半導體(STM)進入大生產。

而且Primo TSV300E可以和中微的Primo D-RIE™刻蝕設備靈活結合,混合配置出具備在同一平臺進行等離子體刻蝕和TSV硅通孔刻蝕能力的設備。這種靈活的安排帶來了技術最優化和成本的競爭優勢。

三、MOCVD

中微的MOCVD設備在國內氮化鎵藍光LED外延加工市場實現逆襲,其第二代Primo A7設備,已在國內全面取代德國Aixtron和美國Veeco的設備。每臺Prismo A7設備可容納多達4個反應腔, 每個反應腔的產量是中微第一代MOCVD設備Prismo D-BLUE的2倍多,也就是每臺可同時加工136片4英寸外延晶片。同時A7已在中國大陸、臺灣、日本、韓國和美國等國家和地區申請專利155項(包括授權和未授權)。

自2016年第二代MOCVD設備Prismo A7第一次推向市場以來,已經付運超過100臺腔體。

MOCVD的產品有著廣闊的不斷成長的市場,從照明領域的藍光LED到紅黃光LED/紫外光LED,再到功率領域的功率器件,再到顯示領域的Micro-LED,再到IC領域的單晶IC器件。

中微(AMEC)自主研發的部分技術居世界領先水平

芯片刻蝕的尺寸大小和芯片溫度有著密切關係。如果要求刻蝕均勻性達到1納米,那麼整個芯片的溫度差異就要控制在2度以內。目前中微自主研發的溫控設計可以讓刻蝕過程的溫控精度保持在0.75度以內,優於國際水平。

同時,中微和國內廠家合作,研製和優化了一整套採用等離子體增強的物理氣象沉積金屬陶瓷的方法,這種創新的方法極大地改善了材料的性能,其晶粒更為精細、緻密,缺陷幾乎為零。相比國外當前採用的噴淋盤,中國的陶瓷鍍膜噴淋盤壽命可以延長一倍,造價卻不到五分之一。

中微(AMEC)的介質刻蝕機服務於國際高端芯片製造業

目前,中微半導體的介質刻蝕設備、硅通孔刻蝕設備、MOCVD設備等均已成功進入國內外重要客戶供應體系。截至2017年底,已有620多箇中微半導體生產的刻蝕反應臺運行在海內外39條先進生產線上。

另據中微半導體設備(上海)有限公司IT/ERP總監董祥國介紹,作為國內集成電路裝備製造領域的領先製造企業,中微半導體近年來成功研發了具有自主知識產權的介質刻蝕機,被廣泛的應用於海內外一流客戶的生產線,從65納米到10納米工藝的芯片加工製造,已在國內外27條高端芯片生產線實現大規模量產。

中微(AMEC)是我國半導體設備出口冠軍

據海關統計,2017年僅中微半導體設備的出口額就佔據中國半導體設備總出口額的75%,在行業設備出口領域做到了真正做到了行業第一。

中微(AMEC)堅決捍衛自身的專利權不受侵犯

2018年年初,中微半導體公司獲悉,美方涉嫌侵犯中微公司專利權的設備即將從上海浦東國際機場進口,隨即向上海海關提出扣留侵權嫌疑貨物的申請。上海海關及時啟動知識產權海關保護程序,在進口環節開展行政執法,根據權利人申請,暫停涉嫌侵權設備的通關,這批設備貨值達3400萬元。

注:☞【2018 年 1 月 12 日,依據中國法律,中國海關基於中微的第 CN 202492576 號專利採取了知識產權保護措施,暫時扣押了 Veeco Asia 公司進口至中國的兩臺涉嫌侵犯中微專利的 EPIK700 型號的 MOCVD 設備。】

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲專利PK:中微半導體(AMEC)VS 美國Veeco(美國維易科公司)

隨著海關介入執法,美方開始正視中微公司的自主研發專利及其在中國的知識產權狀況,主動與中微公司展開談判,雙方最終達成全球範圍相互授權的和解協議。

注:☞【2018年2月10日,美國維易科精密儀器有限公司(以下簡稱“Veeco”)、中微半導體設備(上海)有限公司(AMEC)(以下簡稱“中微”)和西格里碳素(SGL)(以下簡稱“SGL”)共同宣佈,同意就三方之間的未決訴訟達成和解,並友好地解決所有的未決紛爭,包括中微在福建高院針對 Veeco 的訴訟和 Veeco 在美國紐約東區地方法院針對 SGL 的訴訟。

作為和解內容的一部分,Veeco、中微和 SGL 及它們的附屬公司之間在全球範圍內所有的法律行動(在法院的、在專利局的及其它)將會被撤訴或以其他方式撤回。因此,所有業務流程,包括銷售、服務和進口都將繼續進行。但是,關於和解條款的細節並未公佈。】

中微(AMEC)在國際客戶滿意度調查榜單上取得了很高的排名

2018年5月,中微半導體設備(上海)有限公司(AMEC)在全球領先的半導體行業市場研究公司VLSI Research發佈2018年度客戶滿意度調查的多項排名中位居前五。其中在芯片製造設備專業型供應商的前十名中位居第二,並被客戶列為“值得信賴和推薦的供應商”,在全球晶圓製造設備供應商中排名第三,在專用芯片製造設備供應商中排名第四。這是自1988年VLSI開始舉辦客戶滿意度調查,至今30年來首個上榜的中國本土裝備供應商。


中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲全球領先的半導體行業市場研究公司VLSI Research發佈2018年度客戶滿意度調查,其中在芯片製造設備專業型供應商的前十名中,中微半導體設備(上海)有限公司(AMEC)位居第二

上海微電子裝備(SMEE)有限公司

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲上海微電子裝備有限公司LOGO

上海微電子裝備(SMEE)有限公司坐落於張江高科技園區內,鄰近國家集成電路產業基地、國家半導體照明產業基地和國家863信息安全成果產業化(東部)基地等多個國家級基地。

公司成立於2002年,致力於半導體裝備、泛半導體裝備、高端智能裝備的研發製造,產品包括前道光刻機、後道封裝光刻機、平板顯示光刻機、檢測設備、搬運設備等。

上海微電子(SMEE)深耕光刻機產品研發,承擔多項專項科研任務。公司承接了光刻機國家重大科技專項,以及02專項“浸沒光刻機關鍵技術預研項目”(通過國家驗收)和“90nm光刻機樣機研製”(通過了02專項專家組現場測試)任務。

該公司的IC前道製造光刻機最高可實現90nm製程,有望快速將產品延伸至65納米制程。

公司的IC後道封裝光刻機可以滿足各類先進封裝工藝的需求,已經實現批量供貨,並出口到海外市場,國內市場佔有率達到80%,全球市場佔有率40%;用於LED製造的投影光刻機的市場佔有率也達到20%。

作為國內光刻機設備領域的領航者,上海微電子承擔著國產光刻機設備的希望,有望實現國產光刻設備的重大突破。

ASML公司

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲ASML公司LOGO

荷蘭ASML公司 (全稱: Advanced Semiconductor Material Lithography,

中文名稱為阿斯麥(中國大陸)、艾司摩爾(中國臺灣)。目前該全稱已不做做為公司標識使用,公司的註冊標識為ASML Holding N.V(NASDAQ:ASML、Euronext:ASML)。

ASML創立於1984年,是從飛利浦獨立出來的一個半導體設備製造商。前稱ASM Lithography Holding N.V.,於2001年改為現用名,總部位於荷蘭費爾德霍芬(Veldhoven),全職僱員12000餘人,是一家半導體設備設計、製造及銷售公司。

ASML是全球最大的半導體設備製造商之一,向全球複雜集成電路生產企業提供領先的綜合性關鍵設備。

1995年,ASML的股票分別在阿姆斯特丹及紐約上市。

ASML同時重視研發投入與專業併購

ASML專注關鍵環節,研發投入與專業併購形成正向循環。ASML的研發人員佔比將近4成,並累計1萬個以上專利。相較於尼康及佳能內部研發多數部件與技術的模式,ASML推行部件外包與技術合作開發策略,專注於核心技術與客戶需求,具有較高的方案彈性與效率。

ASML公司先後對光刻的細分領域龍頭進行投資,其中包括在2000年收購Silicon Valley Group,擴展了在美國的研發團隊與生產基地;在 2007年收購了美國的Brion,強化了專業光刻檢測與解決方案能力;為了解決 EUV的光源問題,2012年 10月,ASML斥資 19.5億歐元,收購其關鍵的紫外光源技術提供商 Cymer,加速極紫外光(EUV)相關技術的開發。

ASML公司 2017年的 EUV設備 NXE 3400B,成功提高光源功率與精度,實現約 13納米的線寬,並且採用磁懸浮系統來加速掩模及工作臺,預期吞吐量可達每小時 125片晶圓,微影迭對(overlays)誤差容許度在 3納米以內。

2016年11月3日,ASML以10億歐元現金收購卡爾蔡司SMT子公司的24.9%股權,強化雙方在半導體光刻技術方面的合作,發展下一代EUV光刻系統。後兩起對技術供應商的投資,加大了公司在極紫外光領域的領先優勢。

同時ASML也將在未來6年內投資約2.2億歐元支持Carl Zeiss SMT在光學光刻技術上的研發,以及約5.4億歐元的資本支出和其他相關供應鏈投資。

ASML的光刻機怎樣幫芯片助力?我們可以看一下ASML官方的介紹:

簡單來說,我們製造的光刻設備是一種投影系統。這個設備由50000個零件組裝而成。

實際使用過程中,則通過激光束被投穿過一片印著圖案的藍圖或光掩模,光學鏡片將圖案聚焦在有著光感化學塗層的硅晶圓上,當未受曝光的部分被蝕刻掉時,圖案隨即顯現……

此製程被一再重複,用以在單個芯片上製造數以十億計的微型結構。晶圓以2納米的精準度互相疊加,並加速移動,快如閃電,達到這種精確度可謂高科技,要知道,即使頭髮絲也有十萬納米,2納米的精細可想而知。

未來,只有他的EUV光刻機能夠幫助芯片接續微縮,因此這些設備縱使賣到上億歐元,都能被客戶所接受。

光刻機

光刻機(Mask Aligner) 又名:掩模對準曝光機,曝光系統,光刻系統等。常

用的光刻機是掩膜對準光刻,所以叫 Mask Alignment System。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲光刻機總體結構圖

一般的光刻工藝要經歷硅片表面清洗烘乾、塗底、旋塗光刻膠、軟烘、對準曝光、後烘、顯影、硬烘、刻蝕等工序。

Photolithography(光刻) 意思是用光來製作一個圖形(工藝)。

在硅片表面勻膠,然後將掩模版上的圖形轉移光刻膠上的過程將器件或電路結構臨時“複製”到硅片上的過程。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲光刻機原理圖

光刻機是半導體工業皇冠上的明珠

半導體芯片製作分為IC設計、IC製造、IC封測三大環節,光刻作為IC製造的核心環節,其主要作用是將掩模版上的芯片電路圖轉移到硅片上。由於光刻的工藝水平直接決定芯片的製程水平和性能水平,光刻成為IC製造中最複雜、最關鍵的工藝步驟,耗費時間約佔整個硅片工藝的40―60%,支出約為整個硅片製造工藝的1/3。光刻的核心設備――光刻機更是被譽為半導體工業皇冠上的明珠。

光刻機的運作機制

光刻設備是一種投影曝光系統。在半導體制作過程中,光刻設備會投射光束,穿過印著圖案的掩模及光學鏡片,將線路圖曝光在帶有光刻膠的硅晶圓上;通過光刻膠與光的反應來形成溝槽,然後再進行沉積、蝕刻、摻雜,架構出不同材質的線路。

其中掩膜版上面會有很多的佈線,形成溝槽以後在裡面會布很多的二極管、三極管等,來形成不同的功能。單位面積上布的線越多,能夠實現的功能就越多,效能也越高,耗能越少。

紫外光源

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲EUV光刻機上使用的各種紫外光光源

曝光系統最核心的部件之一是紫外光源。

常見光源分為:

可見光:g線:436nm

紫外光(UV),i線:365nm

深紫外光(DUV),KrF 準分子激光:248 nm, ArF 準分子激光:193 nm

極紫外光(EUV),10 ~ 15 nm

對光源系統的要求

a.有適當的波長。波長越短,可曝光的特徵尺寸就越小;(波長越短,就表示光刻的刀鋒越鋒利,刻蝕對於精度控制要求越高。)

b.有足夠的能量。能量越大,曝光時間就越短;

c.曝光能量必須均勻地分佈在曝光區。(一般採用光的均勻度 或者叫 不均勻度 光的平行度等概念來衡量光是否均勻分佈)

常用的紫外光光源是高壓弧光燈(高壓汞燈),高壓汞燈有許多尖銳的光譜線,經過濾光後使用其中的g 線(436 nm)或i 線(365 nm)。

對於波長更短的深紫外光光源,可以使用準分子激光。例如KrF 準分子激光(248 nm)、ArF 準分子激光(193 nm)和F2準分子激光(157 nm)等。

曝光系統的功能主要有:平滑衍射效應、實現均勻照明、濾光和冷光處理、實現強光照明和光強調節等。

光刻機是芯片製造的核心設備之一,按照用途可以分為好幾種:有用於生產芯片的光刻機;有用於封裝的光刻機;還有用於LED製造領域的投影光刻機。用於生產芯片的光刻機是中國在半導體設備製造上最大的短板,國內晶圓廠所需的高端光刻機完全依賴進口。

半導體芯片生產的光刻工藝

光刻的過程就是現在製作好的硅圓表面塗上一層光刻膠(一種可以被光腐蝕的膠狀物質),接下來通過光線(工藝難度紫外光<深紫外光<極紫外光)透過掩膜照射到硅圓表面(類似投影),因為光刻膠的覆蓋,照射到的部分被腐蝕掉,沒有光照的部分被留下來,這部分便是需要的電路結構。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲光刻工藝步驟

光刻(lithography)為集成電路微細化的最關鍵技術。當前在16/14nm節點乃至10及7nm節點,芯片製造商普遍還在使用193nm ArF浸潤式光刻機+多重成像技術,但採用多重成像技術後將增加曝光次數,導致成本顯著上升及良率、產出下降等問題。根據相關企業的規劃,在7/5nm節點,芯片生產將導入極紫外(EUV)光刻技術,EUV光刻使用13.5nm波長的極紫外光,能夠形成更為精細的曝光圖像。

EUV光刻技術

極紫外光刻(Extreme Ultraviolet Lithography),常稱作EUV光刻或EUVL,它以波長為10-14納米的極紫外光作為光源的光刻技術。具體為採用波長為13.4nm 的軟x 射線。極紫外線就是指需要通過通電激發紫外線管的K極然後放射出紫外線。

EUV(極紫外線光刻技術)是下一代光刻技術(<32nm節點的光刻技術)。它是採用波長為13.4nm的軟x射線進行光刻的技術。是傳統投影光刻技術向更短波長的延伸,正處於產業化的臨界點。作為工業製造領域尖端技術的融合,世界上只有少數幾家研究機構及公司掌握此技術。這種光刻技術代表了當前應用光學發展最高水平。而作為下一代光刻技術,被行業賦予了拯救摩爾定律的使命。

EUV光是通過使用來自高功率二氧化碳激光器的雙脈衝瞄準微小錫滴而產生的。第一個脈衝將錫滴重新塑造成模糊的薄餅形狀,這樣第二個脈衝就會更加強大並且跟隨它僅僅3微秒,它可以將錫爆炸成等離子體,並以13.5納米的光照射。然後將光聚集,聚焦並從圖案化的掩模上彈開,使得圖案將投射到硅晶圓上。

芯片廠商計劃將EUV光刻應用到最困難的光刻工序,即金屬1層以及過孔生成工序,而其他大部分工序則仍將延用193nm ArF浸潤式光刻機+多重成像來製作。據ASML稱,相比浸潤式光刻+三重成像技術,EUV光刻技術能夠將金屬層的製作成本降低9%,過孔的製作成本降低28%。

除光刻機之外,EUV光刻要在芯片量產中應用仍有一些技術問題有待進一步解決,如:光刻膠、掩膜、掩膜保護薄膜(pellicle)。

光刻膠

光刻膠方面,要實現大規模量產要求光刻膠的照射反應劑量水平必須不高於20mJ/cm2。而目前要想得到完美的成像,EUV光刻膠的照射劑量普遍需要達到30-40mJ/cm2。在30mJ/cm2劑量水平,250w光源的EUV光刻機每小時吞吐量只能達到90片,顯著低於理想的125片。

由於EUV光刻產生的一些光子隨機效應,要想降低光刻膠的照射劑量水平仍需克服一系列挑戰。其中之一是所謂的光子發射噪聲現象。光子是光的基本粒子,成像過程中照射光光子數量的變化會影響EUV光刻膠的性能,因此會產生一些不希望有的成像缺陷,比如:線邊緣粗糙(line-edge roughness:LER)等。

光掩膜版

光掩膜版,EUV光刻使用鏡面反射光而不是用透鏡折射光,因此EUV光刻採用的光掩膜版也需要改成反射型,改用覆蓋在基體上的硅和鉬層來製作。同時,EUV光刻對光掩膜版的準確度、精密度、複雜度要求比以往更高。

當前製作掩膜版普遍使用的可變形狀電子束設備(VSB),其寫入時間成為最大的挑戰,解決方案之一是採用多束電子束設備。包括IMS公司、NuFlare公司等已在開發相關多束電子束產品,多束電子束設備能夠提高光掩膜版製作效率,降低成本,還有助於提高光掩膜版的良率。未來,大部分EUV光掩膜版仍可以使用可變形狀電子束設備來製作,但是對少數複雜芯片而言,要想保持加工速度,必須使用多束電子束設備。

EUV薄膜

在EUV發展過程中,最大的一個痛點就在於極其昂貴的MASK(EUV薄膜)。這種被稱為薄膜的覆蓋物可以“保持”鑄造在硅片上的圖案。MASK作為光掩膜的保護層,提供阻隔外界汙染的實體屏障,可以防止微塵或揮發氣體汙染光掩膜表面,減少光掩膜使用時的清潔和檢驗。

ASML公司已經開發出83%透射率的薄膜,在採用245W光源,測試可達到100 片晶圓/時吞吐量,ASML的目標是開發出透射率90%的透明薄膜,可承受300W的EUV光源,實現125片晶圓/時的吞吐量。ASML也正在努力保持機器內部比現在更清潔,這樣客戶可以隨意使用沒有薄膜的MASK。

EUV光刻的關鍵技術包括EUV光源和高數值孔徑(NA)鏡頭

EUV光刻的關鍵技術包括EUV光源和高數值孔徑(NA)鏡頭,前者關乎光刻機的吞吐量(Throughput),後者關乎光刻機的分辨率(Resolution)和套刻誤差(Overlay)能力等。

目前,全球EUV光刻機生產基本上由荷蘭ASML公司所壟斷,ASML光刻機的鏡頭由德國Carl Zeiss(卡爾·蔡司)公司提供,光源則來自美國Cymer公司。

Cymer公司是世界領先的準分子激光源提供商,發明瞭如今半導體制造中最關鍵的光刻技術所需的深紫外(DUV)光源。產品主要特性是:帶寬窄,運行速度高,可靠性強。Cymer光源在批量生產符合特定規格的的世上最先進的半導體芯片時起著決定性的作用。為了加快EUV的研發進度,ASML已於2012年10月收購了美國Cymer公司。

在這之前的2007年,ASML還收購了總部位於美國加州Santa Clara的睿初(Brion)科技公司,該公司致力於計算光刻等方面的服務,用於檢測光刻缺陷及提出相應修正解決方案,在同行業中處於領跑位置。

每臺EUV設備都基於大功率二氧化碳激光器和“觸發”激光器,在EUV源腔內的錫液滴加工時,產生寬帶發射光,此外還需要由鑽石製成的專業光學元件。

在EUV光刻技術中,採用反射鏡而非透鏡進行縮影。ASML採用的曲面反射鏡來自德國卡爾·蔡司公司(Carl Zeiss AG)。

卡爾·蔡司公司(Carl Zeiss AG)

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲卡爾·蔡司股份公司(Carl Zeiss AG)LOGO

蔡司是光學和光電行業國際領先的科技企業,研發並銷售半導體制造設備、測量技術、顯微鏡、醫療技術、眼鏡鏡片、相機和攝影鏡頭、望遠鏡和天文館技術。在半導體制造設備領域,卡爾蔡司在光刻領域提供了主流193納米光刻光學系統和極紫外13.5納米光學系統。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲ASML公司EUV光刻機上採用的來自卡爾·蔡司股份公司(Carl Zeiss AG)的曲面反射鏡

EUV光刻機所使用的蔡司曲面反射鏡性能達到了變態完美級

極紫外EUV對於曲面反射鏡的要求可以用變態地完美來形容,若鏡面塗層中出現小缺陷會將光學圖案扭曲並影響電路圖案,最終會導致芯片性能缺陷。

蔡司反射鏡所能容忍的缺陷為皮米數量級(千分之一納米),ASML的總裁Peter Wennink曾經接受採訪表示,如果反射鏡的面積有德國這麼大,最高凸起不能超過一公分。

ASML正與蔡司公司合作開發數值孔徑為0.5的光學系統

高數值孔徑(High-NA)光學系統方面,由於極紫外光會被所有材料(包括各種氣體)吸收,因此極紫外光光刻必需在真空環境下,並且使用反射式透鏡進行。

目前,阿斯麥公司已開發出數值孔徑為0.33的EUV光刻機鏡頭,阿斯麥正在為3nm及以下製程採開發更高數值孔徑(NA)光學系統,公司與卡爾蔡司公司合作開發的數值孔徑為0.5的光學系統,預計在2023-2024年後量產,該光學系統分辨率(Resolution)和生產時的套刻誤差(Overlay)比現有系統高出70%,每小時可以處理 185 片晶圓。

芯片微縮製程的推進需要EUV光刻機擁有更大功率的EUV光源

ASML最新的NXE:3400B EUV型光刻機,採用245W光源,在實驗條件下,未使用掩膜保護膜(pellicle),已實現每小時曝光140片晶圓的吞吐量;該機型在用戶端的測試中,可達到每小時曝光125片晶圓的吞吐量,套刻誤差2nm。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲ASML公司光刻機的曝光晶圓吞吐量演進圖

按照ASML公司EUV技術路線規劃,公司將在2018年底前,通過技術升級使NXE:3400B EUV機型的套刻誤差減小到1.7nm以下,滿足5nm製程的工藝需求;在2019年中,採用250W EUV光源,達到每小時145片晶圓的量產吞吐量;在2020年,推出升級版的NXE:3400C EUV機型,採用250W EUV光源達到155片/時的量產吞吐量。

總體上,目前的250W EUV光源已經可以滿足7nm甚至5nm製程的要求,下一代機器將需要更多的EUV瓦數。在實驗室中,ASML已破解410 W,但尚未達到芯片生產所需的,足夠好的佔空比(duty cycle)。

更強大的激光器將有所幫助,但這可能會增加錫液滴的速度。在今天的機器中,錫滴每秒被射出50,000次,但ASML的副總裁Anthony Yen表示,新產品的液滴發生器的運行速度或將達到80,000赫茲。

據估算,在3nm技術節點,對EUV光源的功率要求將提升到500W,到了1nm技術節點,光源功率要求甚至將達到1KW。

光刻機的分辨率

決定光刻機分辨率的公式如下:

光刻機分辨率=k1*λ/NA

k1是常數,不同的光刻機k1不同,

k1越大對應的光刻工藝就越容易。k1的極限是0.25,小於0.25的光刻工藝是不可能的。λ指的是光源波長,NA是物鏡的數值孔徑(Numerical Aperture), 數值孔徑是無量綱(dimensionless quantity)的數量,與光的聚焦程度有關。數值孔徑越大意味著分辨率越高。改變EUV機器中的數值孔徑將需要更大,更完美拋光的成像鏡組。

所以光刻機的分辨率就取決於光源波長及物鏡的數值孔徑,波長越短越好,NA越大越好,這樣光刻機分辨率就越高,製程工藝越先進。

現在的EUV光刻機使用的是波長13.5nm的極紫外光,而普通的DUV光刻機使用的是193nm的深紫外光,所以升級到EUV光刻機可以大幅提升半導體工藝水平,實現7nm及以下工藝。

但是改變波長之後再進一步提升EUV光刻機的分辨率就要從NA指標上下手了,目前的光刻機使用的還是NA=0.33的物鏡系統,下一代的目標就是NA=0.5及以上的光學系統了。

ASML與比利時研究機構IMEC成立了一座聯合研究實驗室

2018年第四季度,ASML與比利時研究機構IMEC成立了一座聯合研究實驗室,共同探索在後3nm邏輯節點的納米級元件製造藍圖。此次雙方這項合作是一項為期五年計劃的一部份,分為兩個階段:

首先是開發並加速極紫外光(EUV)微影技術導入量產,包括最新的EUV設備準備就緒。

其次將共同探索下一代高數值孔徑(NA)的EUV微影技術潛力,以便能夠製造出更小型的納米級元件,從而推動3nm以後的半導體微縮。

雙方將在EXE:5000型光刻機上使用NA=0.55的光學系統,更高的NA有助於將EUV光源投射到更廣闊的晶圓上從而提高半導體工藝分辨率,減少晶體管尺寸。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲ASML公司未來的EXE:5000型光刻機性能展望圖

如今這項研究才剛剛開始,所以新一代EUV光刻工藝問世時間還早,此前ASML投資20億美元入股蔡司公司,目標就是合作研發NA=0.5的物鏡系統,之前公佈的量產時間是2024年,這個時間點上半導體公司的製程工藝應該可以到3nm節點了。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲ASML公司Twinscan光刻機簡易工作原理圖

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲ASML公司Twinscan光刻機各組成部分的意義

在加工芯片的過程中,光刻機通過一系列的光源能量、形狀控制手段,將光束透射過畫著線路圖的掩模,經物鏡補償各種光學誤差,將線路圖成比例縮小後映射到硅片上,然後使用化學方法顯影,得到刻在硅片上的電路圖。

一般的光刻工藝要經歷硅片表面清洗烘乾、塗底、旋塗光刻膠、軟烘、對準曝光、後烘、顯影、硬烘、激光刻蝕等工序。經過一次光刻的芯片可以繼續塗膠、曝光。越複雜的芯片,線路圖的層數越多,也需要更精密的曝光控制過程。

尹志堯簡介

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

▲尹志堯——中微半導體設備(上海)有限公司(AMEC)董事長兼總裁

尹志堯,男,北京人,中國國籍,

中微半導體設備(上海)有限公司董事長。

1968年,尹志堯從中國科學技術大學化學物理系畢業。1980年,前往加利福尼亞大學洛杉磯分校留學,並獲得物理化學博士學位。2004年,尹志堯在上海創辦中微半導體設備公司(AMEC)擔任公司董事長兼總裁。

人物經歷

1956年,尹志堯考入北京市第四中學,在校期間一直做共青團少先隊的工作,1962年畢業。

1962年,尹志堯考入中國科學技術大學化學物理系,因文革爆發直到1968年春畢業。

從1968到1971年,工作於蘭州煉油廠。

1973年,轉到中科院蘭州物理化學所。

1978-1980年,在北京大學化學系攻讀碩士。

1980年,在美國一些親戚的幫助下,來到加州大學洛杉磯分校攻讀博士學位。三年半就拿到物理化學博士學位。

1984年,以後的16年裡一直在硅谷工作。開始是在Intel公司的中心技術發展部門做電漿蝕刻工作。

1986年,轉到LAM研究所,開始是高級工程師,後來做到了技術發展經理。在那裡尹志堯負責彩虹等離子體刻蝕設備的開發。LAM靠著一些非常好的產品成為這個領域的領先者之一。

1991年,尹志堯來到應用材料公司,負責同一領域的研究開發工作,先後獲得了60多個美國和國外的專利,還有一些正等著批准。尹志堯開發或參與開發的產品,現在在這個領域大概佔了全世界的50%。曾任Applied Materials應用材料公司的副總裁,負責等離子體刻蝕部門的業務。另外,還幫助成立了硅谷中國工程師協會,並擔任了頭兩任的主席。曾被譽為“硅谷最有成就的華人之一”。

2004年,60歲的尹志堯毅然放棄了美國的百萬美元的年薪,衝破美國政府的層層審查,帶領著三十多人的團隊回到中國。只因一句,學成只為他日歸來,報效祖國!勢必要為中國半導體事業做出貢獻!臨行前,他(她)們一群人遭到了美國安全部門地阻撓,所有人員持有的600多萬個文件,和所有個人電腦被徹底清查,所有的工藝過程、設計圖紙被全被沒收。

60歲的他,和美國硅谷幾個志同道合的夥伴回到上海,組建了中微半導體設備公司(AMEC),他自己擔任公司董事長兼總裁。日本媒體得知此事後驚呼,半導體技術必將會在中國飛速發展!

他說:我們給外國人做嫁衣,已經做了很多事情了,是時候應該給自己祖國的人民做貢獻了。

榮譽獎項

2012年,尹志堯獲得上海市“白玉蘭紀念獎”。



文字資料來源——

百度百科詞條:

“光刻機”、“隨機存取存儲器”、“ReRAM”、“極紫外光刻”、“ASML”、“上海微電子裝備有限公司”、“中微半導體設備(上海)有限公司”;

百家號:中華精選、金融界、觀察者網、威鋒網、競爭制高點、超能網、牧童山莊;

百度文庫:“什麼是3D NAND?與2D NAND相比有什麼優勢?”——深圳聯華祥電子有限公司供稿;

其他:快科技、電子發燒友網 、搜狐財經——起點財經、Yesky天極新聞、中關村在線、半導體行業觀察、cnBeta、電子發燒友網、泡泡網、

個人圖書館——mingmu888、MAIGOO——買購網、https://xueqiu.com——芯思想、東方新聞、芯智訊、搜狐財經——中天匯富、

EEWORLD電子工程世界、半導體圈、半導體行業聯盟、www.smee.com.cn——上海微電子裝備有限公司(SMEE)官網、電子產品世界、www.diodelaser.com.cn——光粒網、智研諮詢、新浪財經——智通財經網、

中國科學院網站、中國報告網、www.chinesechip.com——中芯網。

圖片來源——互聯網

高挺观点
2019-02-14

中國的刻蝕機的確是達到了世界先進水平,光刻機還早,而且就算是這兩樣都世界先進了,不代表中國芯片業的前路就不艱辛了。


目前中國的刻蝕機的確領先,5納米等離子體刻蝕機已經通過臺積電驗證;但是光刻機就差多了,之前新聞報道中提到的“中科院SP超分辨光刻機”其實最多隻能算是一個“原型機”,和ASML的光刻機不能相提並論,也不能用來製造芯片,還需要攻克一系列的技術難題。


退一步講,就算是中國的光刻機與刻蝕機都達到世界領先就解決問題了麼?ASML的EUV光刻機我們已經下單等待交貨了,是不是到貨以後中國就可以生產7nm甚至是5nm的芯片了?


不要把問題想簡單了,以為芯片也只有光刻機和刻蝕機。芯片製造的技術、經驗、工藝以及人才是一個系統性的工程,臺積電也不是一天建成的,有了光刻機也不代表我們就能造出最頂尖的芯片。


再退一步講,如果一流光刻機和刻蝕機都國產了,臺積電也變成了中國企業,中國的芯片業就獨步天下了麼?當然不是,我們還需要高通、蘋果、英偉達、英特爾、賽靈思、德州儀器這樣的芯片設計、研發、製造和銷售公司來打造整個芯片行業的產業鏈。


芯片行業的產業鏈非常長,不是靠幾臺光刻機和刻蝕機就能解決問題了,上、中、下游都有眾多廠商在其中競爭搏殺,從這個意義上來講,中國的芯片行業並非全面落後,但前路的確依舊艱辛,需要我們繼續努力!!


中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

科技焦距
2019-02-21

不可否認,如今中國的光刻機也刻蝕機取得了跳躍式的發展,達到了世界前列的水平。但是,要說都達到了世界最先進的水平,是需要質疑的。

5納米等離子體刻蝕機的出現,讓刻蝕機技術達到前列,但光刻機,還只能說剛剛有所突破。不少人沒有認清這樣的現狀,於是不斷出現“彎道超車”“全面趕超”“偉大突破”這樣的自嗨言論,自大的言論帶來的不小的錯誤導向,連人民日報也不止一次指出這樣的錯誤。

並且不久前,ASML公司才宣佈,看好和加大光刻機對華出口。如今中國光刻機技術取得飛速發展,但是仍然存在差距,而要製造尖端芯片,是不能容忍這樣的差距的。況且,即使光刻機技術達到頂尖,中國的芯片業依然充滿艱辛。中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

光刻機只是芯片製造設備,要說芯片製造業的前路。更重要的還有很多方面。就如同你擁有了一把好劍,卻根本沒有力氣揮動它。也是無濟於事,而中國芯片業,除了光刻機技術還需要突破以外,還面臨著以下問題。

芯片技術儲備不夠

韓國在上世紀80年代,就以舉國之力全面發展芯片半導體產業,美國更不必多說,一直以來,美日韓憑藉先發優勢,一直引領著芯片產業的發展,由於起步相差太遠,再加上技術封鎖,使得中國的技術儲備嚴重不足。

芯片研發動力不足

去年的中興事件,倒是使得中國出現了一群義憤填膺的企業和有志之士,但是芯片研發靠熱血是遠遠不夠的,得有好的市場環境,政策支持,技術支持等等,得有好的帶頭人,領導者,有好的持續的動力。中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?

芯片人才缺口巨大

這三條原因呈現出相輔相成的狀態。芯片人才不足導致技術儲備不足,研發動力不足,市場形勢不好,無法吸引芯片人才......據統計,中國芯片行業達到40萬的人才缺口,而尖端的工程師更是急需。沒有科研人員,技術就無從談起。而沒有好的科學家,人才培養也面臨問題,因此急需創造利好形勢來吸引人才。

總而言之,如今中國芯片業的前路依舊艱辛,面臨著諸多困難,但是困難正在被逐漸解決,芯片行業正在逐漸傳來利好消息,相信未來也會一片大好!

自由答题者
2019-02-14

我首先說,小編你這樣說,崇媚洋外可就不對了,不能不佩服國外的先進技術,可是人家真有那本事,中國人有嗎?根本就沒有,芯片研發,芯片製造,是需要一個耐心,堅持,長期和投入並且沒有回報利潤產品,可是誰又能做到這種持之以恆的精神,我想中國人根本就沒有這樣的精神,刻蝕機也好,光刻機也罷,芯片製造也罷,中國人要在芯片製造和光刻機制造達到世界先進水平,必須堅定不移長期投入巨資,而且想著沒有回報的精神,中國人不知道能不能做到,國外的人,為什麼有頂尖研發團隊和製造工程師,就是人家有先進的教育和先進的管理模式和先進的管理經驗和先進的管理團隊,還有人家都有一種工匠精神在裡面,就拿中國研發發動機來說,為什麼中國造不出先進的發動機,不好的話,就是連汽車民用發動機都造不出來,就是沒有一個長期的投入,才不會造出先進的產品,40年了,中國的世界工廠名稱還沒有消掉,處於發展中國家,中國要想發展成世界一流強國,那必須是軍事,經濟,政治,教育,醫療,房價,物價,人均收入,芯片製造,等等,達到一個水平,才能躋身世界強國,沒有幾百年的奮鬥目標,根本就很難實現,芯片也是如此。。

ghghhjjhv
2019-03-12

刻蝕機達到了世界先進水平,光刻機還沒有,而且與世界先進水平至少還有十幾二十年的差距。

中國現在的很多芯片雖說已經能夠研發,但是沒有辦法加工出來,因為光刻機達不到要求,一般都是找臺灣或三星代工。

世界最先進的光刻機技術在荷蘭asml公司手上,並且多年來幾乎一直壟斷了高端光刻機市場,美國,日本,韓國和我國臺灣省的高端光刻機都是從這家公司買的。但這個公司之前一直由於美國的施壓對中國實施技術封鎖還有禁運,有錢都不賣給你。

中國只能靠自己研發,光刻機的研發難度對於之前一直空白的中國來說非常大,沒有可借鑑的東西,只能從頭開始一步一步來。而光刻機的更新換代的速度非常快,從手機的更新換代就能看出來,有時候中國研發出一代的時候,世界最先進水平也更新了一代。這樣的話,中國在進步的同時,世界最先進水平也在進步,差距就難以縮小。

不過近幾年情況有了一些改觀,隨著中國的研發進度不斷加快,asml公司對中國的技術封鎖不再如過去那麼嚴格。因為中國市場對他們來說還是非常有吸引力的,一旦中國研發進度趕上來的話,他們的光刻機在中國就賣不出去,賺不到錢了,趁著還有技術優勢可以先高價賣給中國人,敲一筆錢。去年還是前年就有媒體報道過,中國花了超過一億美金從asml公司手中買了一臺當時最先進的發動機。

asml公司之所以這樣做,也有一些不懷好意,先賣光刻機給中國,讓咱們麻痺大意,想忽悠咱們重新走“造不如買,買不如租”的老路,到時候中國的整個芯片行業可能都得被他們扼住命門,不得不看他們的臉色。可惜中國人吃過一次這樣的虧就不會再上當了,買的同時必須同時進行研發,兩頭並進,這樣一旦技術封鎖也不會有太大影響。

科飞猫科技公社
2019-02-14

題主說的不全對。中國的刻蝕機已經達到了世界先進水平,但中國的光刻機還很落後,並且面臨著國外的打壓與國內的不信任等內憂外患,特別是國內的一幫崇洋媚外者和對國產產品沒有信心的企業以及國內的運營商,比國外的打壓更可恨,所以發展之路艱辛是不可避免的。
中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?
其實,芯片設備與芯片製造不是一回事,中國的芯片設備進步不小,中國的芯片製造還有差距。 國內在製造芯片之路是路途艱險,有外部因素,也有自身原因。 芯片製造是人類歷史上最複雜的工藝,加工精度為頭髮絲的幾千分之一,需要上千個步驟才能完成。這麼複雜的工藝,也是需要鉅款來投資。有專家分析,建一個最先進的芯片工廠,至少需要上百億美元。這樣的投資規模,只有跨國巨頭乃至國家才能完成。

中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?
例如中微的蝕刻機已看齊世界水平,中芯國際的工藝已挺進到28納米,但受限於不友好的產業環境,水平還是差強人意。就比如光刻機,ASML的EUV光刻機即將投入7納米工藝,而國內最先進的量產水平是90納米。因為買不到高水平的鏡頭和光源,這是光刻機的核心部件,而國內缺乏相關的技術,所以差距很大。
中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?


不知道各位看官們是如何看待國產光刻機的呢?歡迎在下方評論留言,讓更多人看到您的觀點,謝謝!

WonderTechs
2019-02-04

並非如此,中國光刻機技術達不到世界先進水平,國產芯片製造前景不容樂觀。中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?為什麼有些人說中國芯片業依然前路艱辛?我國的光刻機儼然無法達到世界先進水平,只能實現 22nm 製程的芯片生產,這與當前最先進的7nm隔了好幾代,我們甚至無法制造出14nm、 10nm的芯片。ArF 光刻機已無法制造出更先進製程的芯片,EUV 光刻機才是芯片製造業的未來。中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?中國有達到世界先進水平的刻蝕機,但刻蝕機需要先由光刻機完成電路圖投影,才能腐蝕掉硅片上的多餘電路圖,無法獨立完成芯片生產。
中國的光刻機與刻蝕機達到世界先進水平,為什麼有些人還說中國芯片業依舊前路艱辛?中國現在大部分芯片都來自於國外進口,畢竟中國工業化程度落後於他國,欠缺長期的技術積澱,而芯片製造水平是一個國家工業化程度的最高水平的提現,我國想要達到世界先進水平,確實前路漫漫。

先进制造三维视觉
2019-01-14

從研究成果到小批量成功試生產再到低成本大批量投產需要的不光是質量可靠的設備更多的建立一個穩定的現場操作和維護団隊。

目前我們還有很多的路要走,積累經驗和穩定隊伍是最需要時間的考驗。

心境655
2019-01-15

從標題就不難看出,小編真是個科盲。我國光刻機比荷蘭落後的不只是一點點,而是落後幾代,科學來不得半點虛假,必須事實求是,吹牛浮誇只會害人害己誤國誤民。例如,華為麒麟980芯片是7納米的,是由臺積電代工生產,其光刻機以荷蘭進口,目前,我國還沒有自主7納米光刻機。需努力追趕,仍需時日。

相關推薦

推薦中...